Разработка платы "Устройство управления и индикации РЛС" и программного комплекса для прошивки входящей в ее состав микросхемы ПЗУ EPC2 фирмы Altera

 

Аннотация


Чарушин С.А. Устройство управления и индикации РЛС. - Челябинск, ЮУрГУ, АиУ, 2004 102 с., 13 ил., библиография литературы - 11 наименований, 7 листов чертежей ф. А1.

Настоящий дипломный проект посвящен разработке платы "Устройство управления и индикации РЛС" и программного комплекса для прошивки входящей в ее состав микросхемы ПЗУ EPC2 фирмы ALTERA. Разрабатываемое устройство не имеет аналогов и является перспективным изделием в рамках приема, обработки и формирования сигналов автоматического управления РЛС.

После анализа технического задания была выбрана структура аппаратной части, программного комплекса и программно реализованы алгоритмы управления РЛС.

В пояснительной записке содержится экономическое обоснование необходимости проведения работ, выполнено сетевое планирование и разработаны мероприятия по безопасности жизнедеятельности при работе платы "Устройство управления и индикации РЛС". В технологической части приведен разработанный текстовый документ - инструкция по программированию платы "Устройство управления и индикации РЛС", а именно входящей в ее состав микросхемы ПЗУ EPC2 фирмы ALTERA.


Содержание


Введение

. Постановка задачи

.1 Основные понятия

.2 Цель дипломного проектирования

.3 Реализуемые функции

. Разработка аппаратной части УУиИ

.1 Выбор и обоснование структурной схемы

.2 Схема формирования информационного канала

.3 Схема формирования сигнала СБРОС

.4 Схема формирования сигнала ТЕСТ

.5 Схемы индикации

.6 Описание принципа работы ПЛИС

.7 Конфигурация ПЛИС

.8 Схемы преобразователя постоянного напряжения

. Разработка программной части УУиИ

.1 Краткий обзор оболочки MAX-PLUSII

.2 Краткий обзор языка AHDL

.3 Структура основного модуля программы ПЛИС

.4 Подпрограмма формирователя режима работы ПЛИС

.5 Подпрограмма формирователя сетки частот и управляющих сигналов

.6 Подпрограмма устройства тестового самоконтроля

.7 Подпрограмма выходного мультиплексора

.8 Подпрограмма устройства обработки сигналов

.9 Подпрограмма режима управления

.10 Подпрограмма режима сигнализации

. Технологический раздел

.1 Постановка задачи

.2 Текст документа

. Вопросы безопасности жизнедеятельности

.1 Анализ условий эксплуатации проектируемой системы

.2 Соответствие проектируемой системы требованиям безопасности

.3 Анализ опасных и вредных производственных факторов

. Организационно-экономический раздел

.1 Технико-экономическое обоснование

.2 Составление индивидуального перечня работ и построение сетевого графика

.3 Расчет параметров событий сетевого графика

.4 Расчет параметров работ сетевого графика

.5 Расчет параметров СГ в целом

.6 Расчет затрат на НИОКР

.7 Предпроизводственные затраты

.8 Текущие издержки при производстве продукции

.9 Единовременные затраты при использовании продукции

.10 Показатели экономической эффективности НИОКР

Заключение

Список сокращений

Литература

Приложение


Введение


Постоянно растущая интенсивность полетов и увеличение числа гражданских рейсов воздушного транспорта требуют более широкого внедрения радиоэлектронных средств, для обеспечения управления воздушным движением, навигации и посадки.

Безопасность и регулярность полетов самолетов в значительной степени зависит от состава оборудования, рациональной компоновки и его безотказной работы.

Для контроля местоположения самолета на трассе применяются наземные радиолокационные станции (РЛС).

При проектировании и разработке РЛС в целом или отдельных модулей встает задача выбора параметров и режимов работы, проверка работоспособности и отладка разрабатываемого изделия.

В реальных условиях для проверки работоспособности всей РЛС или отдельного модуля необходимо осуществить выезд на испытательный полигон, предварительно договорившись с руководством специализированной летной организации на проведение тренировочных полетов в заданном районе по заданным траекториям.

Очевидно, что при таком подходе стоимость разработки заметно увеличивается. Увеличиваются также сроки разработки, поскольку изготовление и доработка тестируемых блоков и модулей требует дополнительного времени и людских ресурсов.

В случае обнаружения неустранимых ошибок в конструкции изделия из-за неправильного выбора параметров или режимов работы зачастую требуется повторное изготовление всего блока или модуля.

Темой данной дипломной работы является разработка узла системы ТУ-ТС, отвечающего за сбор и обработку сигналов, поступающих с отдельных узлов РЛС.

Создание подобного узла системы ТУ-ТС позволит контролировать работоспособность всех узлов аппаратной РЛС, формировать сигналы управления и индикации.


1. Постановка задачи


.1 Основные понятия


Прежде чем описывать работу и назначение "Устройства управления и индикации", в дальнейшем УУиИ, введем основные понятия и определения, необходимые для знакомства с данной предметной областью и понимания основных идей, заложенных при разработке.

Радиолокация - это область радиотехники, обеспечивающая радиолокационное наблюдение различных объектов, т.е. их обнаружение, измерение координат и параметров движения, а также выявление некоторых структурных или физических свойств путем использования отраженных или переизлученных объектами радиоволн либо их собственного радиоизлучения.

Радиотехнические устройства радиолокационного наблюдения называются радиолокационными станциями (РЛС). Сами же объекты радиолокационного наблюдения именуются радиолокационными целями.

Аэродромный обзорный радиолокатор "АОРЛ-1АС" (далее по тексту - АОРЛ), с вторичным каналом режимов "УВД" и "RBS" предназначен для работы в качестве источника радиолокационной информации для существующих и перспективных систем управления воздушным движением в аэродромной зоне и основного средства по вторичному каналу в зоне районных центров системы УВД.

АОРЛ обеспечивает:

прием, обработку и преобразование радиолокационной информации, получаемой по первичному и вторичному каналам;

трансляцию радиолокационной информации в аналоговом виде по двум широкополосным, кабельным линиям и цифровую информацию в кодированном виде по телефонным проводам на КДП на расстояние до 3 км;

отображение на экране контрольного монитора АПОИ "ПРИОР" аналоговой и цифровой координатной информации первичного канала, а также отображение цифровой координатной и полетной информации в режиме асинхронной работы ПК и ВК, либо аналоговой и цифровой координатной и дополнительной информации вторичного канала в режиме синхронной работы ПК и ВК;

дистанционное управление работой и отображение состояния на выносной аппаратуре командно-диспетчерского пункта по проводам с использованием аппаратуры ТУ-ТС.

Выносная аппаратура КДП на расстоянии до 3 км обеспечивает:

дистанционное управление;

получение информации о состоянии АОРЛ;

выдачу служебных сообщений для обмена информацией с аппаратурой отображения;

сопряжение с системами отображения информации: "Комета-2М", "НОРД", КСА УВД "Альфа", "КАРМ ДРУ", "КОРИНФ".

По своему функциональному назначению АОРЛ состоит из:

антенно-фидерного тракта;

вторичного радиолокационного канала с запросом на частоте 1030 МГц и приемом на частотах 740 и 1090 МГц.

первичного радиолокационного канала (ПРК) с общими для всех радиолокационных каналов схемами контроля, управления и трансляции;

Антенно-фидерный тракт включает в себя совмещенную антенну ПК и ВК, а также фидерный тракт для передачи излучаемых импульсов в антенну и передачи принимаемых сигналов в аппаратуру обработки.

Вторичный канал является аэродромно-обзорным и предназначен для обнаружения самолетов, оборудованных ответчиками (работающими как в отечественном, так и в международном диапазонах), а также для получения от воздушного судна (ВС) дополнительной полетной информации (бортового номера, высоты полета, остатка топлива).

Первичный радиолокационный канал является аэродромно-обзорным. Он выдает радиолокационную информацию обо всех самолетах, находящихся в зоне действия АОРЛ независимо от наличия на борту самолетных ответчиков.

В составе АОРЛ предусмотрена аппаратура автоматического управления его работой. Она выполняет следующие функции:

обеспечивает последовательное включение и отключение составных частей АОРЛ;

производит автоматический непрерывный контроль за состоянием аппаратуры АОРЛ и ее работоспособностью с выдачей и отображением сигналов неисправностей и аварий;

обеспечивает аварийное отключение аппаратуры при выходе из строя с запоминанием состояния "Авария" вышедшего из строя устройства;

поддерживает необходимый температурный режим в аппаратной и агрегатной при различных условиях окружающей среды.

В каждом функционально законченном устройстве имеются цепи управления и контроля, которые связаны автоматикой изделия. Устройства аппаратуры автоматики на основе полученных данных автоматически принимают решение на выработку сигналов "Норма", "Ухудшение" или "Авария". Эти сигналы через устройство сопряжения с автоматикой и аппаратуру ТУ-ТС поступают на КДП.

Под неисправностью АОРЛ понимается такое состояние изделия, при котором затрудняется его эксплуатация, но основные параметры находятся в пределах требований. Критерии неисправности следующие:

выход из строя одного из комплектов изделия, при этом работа продолжается на другом комплекте АОРЛ;

выход из строя вспомогательных устройств, пульта управления.

Под отказом понимается выход из строя: либо первичного канала обоих комплектов, либо вторичного канала обоих комплектов, либо разноименных каналов в двух комплектах, при этом радиолокационная и дополнительная информация на экранах диспетчера отсутствует более 12 секунд.

АОРЛ состоит из аппаратной, агрегатной, антенной системы, аппаратуры КДП.

В АОРЛ входят первичный канал (ПК), излучающий импульсы длительностью частотно-модулированных сигналов (29,0±2,0) мкс (после сжатия (1,5±0,3) мкс) и немодулированных сигналов длительностью (2,5±0,5) мкс, с мощностью в импульсе не менее 25 кВт, и вторичный канал (ВК), излучающий группы до 4-х импульсов в узком луче и одиночные импульсы всенаправленно. Мощность импульсов ВК на выходе передатчика - не менее 1,3 кВт. ПК имеет два независимых комплекта аппаратуры, основной и резервный комплекты, работающих при синхронных запусках излучений. ВК также имеет два независимых комплекта аппаратуры, которые работают как в синхронных, так и при асинхронных запусках излучений относительно ПК.

Передатчик первичного канала (ПРД ПК) предназначен для формирования и усиления высокочастотного сигнала первичного канала АОРЛ до необходимой длительности и мощности. В ПРД ПК осуществляется усиление высокочастотного импульсного сигнала "ЛЧМ" или монохроматического (в зависимости от режима работы).

Шкаф приемных устройств первичного канала (УППК) предназначен для генерирования и формирования сигнала с линейно-частотной модуляцией, усиления и детектирования отраженных от целей сигналов с последующей обработкой, с выделением отметок от движущихся целей на фоне отражений от местных предметов.

Шкаф аппаратуры вторичного канала предназначен для генерирования высокочастотного сигнала импульсной мощностью не менее 1,3 кВт международного частотного диапазона и приема ответного сигнала самолетных ответчиков отечественного и международного диапазонов, усиления их, детектирования и подавления сигналов, принятых боковыми лепестками диаграммы направленности антенны.

Аппаратура первичной обработки информации (АПОИ) предназначена для приема информации, поступающей с выхода аппаратуры УОВС, выделения видеоинформации по первичному каналу (ПК) на фоне шумов приемника и помех, обнаружения пакетов радиолокационных сигналов и определение их координат (обнаружения и определения координат воздушных судов ВС), а также, по вторичному каналу, для декодирования, определения координат ВС и обработки дополнительной информации, поступающей с бортов самолетов, оборудованных радиолокационными ответчиками, работающими в режимах "УВД" и "RBS", и для объединения информации, поступающей от одного самолета по первичному и вторичному каналам, формирования сообщений по цепям и выдачи информации в узкополосную линию связи.

Шкаф аппаратуры синхронизации и сопряжения (ШСС) предназначен для формирования импульсных сигналов запусков, стробирования, бланкирования и формирования тактовых последовательностей, синхронизирующих работу аппаратуры АОРЛ.

Пульт управления предназначен для визуального наблюдения воздушной обстановки в зоне действия АОРЛ с целью контроля функционирования его радиолокационных каналов, отображения технического состояния (ТС), для включения, отключения и управления работой АОРЛ в режимах местного управления РЛС (ЦУ и МУ) с панели управления РЛС.

Щит распределительный агрегатной (ЩРА) предназначен для распределения электроэнергии по составным частям АОРЛ и по составным частям агрегатной.

Щит аппаратной (ЩА) предназначен для распределения электроэнергии по составным частям аппаратной.

Опора предназначена для вращения антенн АОРЛ приводом вращения, передачи сигналов с неподвижной части во вращающуюся часть токосъемником и трехканальным блоком вращательных переходов, а также для установки датчика углового положения антенны.

Антенно-фидерный тракт предназначен для передачи импульсной мощности передатчиков по фидерам до антенных облучателей, формирования диаграмм направленности первичного и вторичного каналов, приема сигнала отраженного от цели и ответного сигнала самолетного ответчика, передачу и разделение принятых сигналов высокой частоты по приемникам АОРЛ с минимальными потерями.

Аппаратура жизнеобеспечения предназначена для создания условий по эксплуатации аппаратуры АОРЛ (кондиционирование, обдув, обогрев, охранная и пожарная сигнализации, светоограждение). Аппаратура обогрева и кондиционирования обеспечивает температурный режим внутри контейнеров от 278 К до 313 К (от +5°С до + 40°С) при температуре окружающей среды от 223 К до 323 К (от минус 50°С до + 50°С).

Преобразователь сети (применены два преобразователя типа ПСЧ-15К) предназначен для преобразования трехфазного электрического тока частотой 50 Гц в трехфазный электрический ток частотой 400 Гц и обеспечивает поддержание выходного напряжения с отклонением ±1% от среднего регулируемого значения при установившемся тепловом режиме и одном и том же значении любой нагрузки преобразователя, лежащей в пределах от 0 до 100% от номинальной, и номинальном коэффициенте мощности (0,85). Один преобразователь ПСЧ-15К обеспечивает питанием оба комплекта изделия. Второй преобразователь является резервным. Переход с работающего комплекта ПСЧ-15К на резервный можно произвести без отключения аппаратуры АОРЛ.

В АОРЛ имеется система обнаружения неисправностей функционально законченных устройств:

  • передатчиков ПК;
  • приемников ПК;
  • передатчиков ВК;
  • приемников ВК;
  • устройств обработки видеосигналов;
  • устройств синхронизации;
  • централизованных источников питания;
  • привода вращения.

Состояние данных функционально законченных устройств отображается на панели РЛС и передних панелях щитов, шкафов и блоков при помощи световой сигнализации.

Сигналы состояния функционально законченных узлов каждого комплекта (Норма, Авария, Ухудшение) поступают на соответствующее устройство управления и индикации РЛС.

Устройства индикации РЛС, расположенные в щите аппаратной, обрабатывают и выдают сигналы состояния функционально законченных узлов для индикации на панели РЛС и КДП.


1.2 Цель дипломного проектирования


Целью данного дипломного проекта является разработка аппаратной и программной частей функционально законченного узла - УУиИ, входящего в состав системы ТУ-ТС РЛС.

Задача УУиИ состоит:

в приеме сигналов функционального контроля отдельных, функционально законченных, узлов РЛС;

в формировании сигналов индикации работоспособности отдельных узлов РЛС на панель РЛС и КДП;

в приеме с кнопочных переключателей панели РЛС и аппаратуры ТУ-ТС сигналов управления;

в формировании сигналов ДУ, МУ, ЦУ,РЕМОНТ и сигналов режимов РЛС;

в формировании сигналов аварийного переключения комплектов РЛС;

в формировании сигналов управления РЛС.

1.3 Реализуемые функции


После анализа технического задания были выделены следующие функции, которые должны выполняться разрабатываемым программным комплексом:

прием сигналов функционального контроля отдельных, функционально законченных, узлов РЛС;

формирование сигналов индикации работоспособности отдельных узлов РЛС на панель РЛС и КДП;

прием с кнопочных переключателей панели РЛС и аппаратуры ТУ-ТС сигналов управления;

формирование сигналов ДУ, МУ, ЦУ, РЕМОНТ и сигналов режимов РЛС;

формирование сигналов аварийного переключения комплектов РЛС;

формирование сигналов управления РЛС.

Функционально УУиИ должно работать в двух режимах:

режим управления;

режим сигнализации.

Так как РЛС имеет 100% резерв, т.е. два идентичных комплекта оборудования, то в каждом комплекте должно работать своя пара устройств управления и индикации: одно УУиИ в режиме управления, другое в режиме сигнализации.

УУиИ в режиме управления должно выполнять следующие функции:

прием сигналов управления с кнопочных переключателей панели РЛС;

прием сигналов управления с аппаратуры ТУ-ТС РЛС;

прием сигналов управления с УУиИ другого комплекта;

формирование сигналов ДУ, ЦУ, МУ, РЕМОНТ;

формирование сигналов режимов;

формирование сигналов управления РЛС.

УУиИ в режиме сигнализации должно выполнять следующие функции:

прием сигналов контроля с отдельных, функционально законченных, узлов РЛС;

формирование и трансляция сигналов индикации на панель РЛС;

формирование сигналов индикации на КДП;

формирование сигналов аварийного переключения комплектов.

Для обеспечения функционального контроля самого УУиИ, должен быть реализован режим тестового самоконтроля (ТСК). Режим ТСК должен обеспечивать автоматическую проверку работоспособности аппаратной части УУиИ.


2. Разработка аппаратной части УУиИ


.1 Выбор и обоснование структурной схемы


После анализа технического задания были выделены следующие функции, которые должны выполняться разрабатываемым аппаратным комплексом:

формирование информационных каналов УУиИ, программируемых для работы как вход, или как выход;

формирование сигнала СБРОС;

формирование сигнала ТЕСТ;

формирование служебной индикации;

обработка информации;

преобразование питающего постоянного напряжения.

В качестве формирователя информационных каналов выступает устройство Ф1. Ф1 преобразует ТТЛ-уровни сигналов входных или выходных линий ПЛИС в импедансные сигналы информационных каналов УУиИ.

В качестве формирователя сигнала СБРОС выступает устройство Ф2. Ф2 формирует задержку конфигурации ПЛИС УУиИ при включении питании и реконфигурацию ПЛИС при нажатии на кнопку SB1 "СБРОС".

В качестве формирователя сигнала ТЕСТ выступает устройство Ф3. Ф3 производит удаление, характерного для кнопочного переключателя, контактного "дребезга".

Устройство индикации (УИ) служит для индикации сигналов "РЕЖИМ", "РАБОТА", "НОРМА", "АВАРИЯ".

Ядром УУиИ является микросхема ПЛИС.

Основными преимуществами ПЛИС при применении в средствах обработки сигналов являются:

высокое быстродействие;

возможность реализации сложных параллельных алгоритмов;

наличие средств САПР, позволяющих провести полное моделирование системы;

возможность программирования или изменения конфигурации непосредственно в системе;

совместимость при переводе алгоритмов на уровне языков описания аппаратуры (VHDL, AHDL, Verilog и др.)

совместимость по уровням и возможность реализации стандартного интерфейса.

наличие библиотек мегафункций, описывающих сложные алгоритмы;

архитектурные особенности ПЛИС как нельзя лучше приспособлены для реализации таких операций, как умножение, свертка и т.п.

ПЛИС является самой популярной элементной базой для реализации алгоритмов ЦОС, построения сложных устройств обработки данных, интерфейсов, систем управления. Это объясняется тем, что благодаря большой логической емкости, удобной архитектуре, достаточно высокой надежности и удачному соотношению цена - логическая емкость данные ПЛИС удовлетворяют разнообразным требованиям, возникающих у разработчика как систем ЦОС, так и устройств управления, обработки данных и т.п.

Конфигурационное постоянное запоминающее устройство (ПЗУ) обеспечивает конфигурацию ПЛИС.

Генератор опорной частоты (ГЧ) обеспечивает работу схемы ПЛИС необходимой тактовой частотой.

Преобразователь напряжения (ПН) обеспечивает преобразование постоянного напряжения, подводимого к плате УУиИ, +27В в постоянное напряжение +5В.

Сигнал "ВАРИАНТ ИСПОЛНЕНИЯ", поступающий в ПЛИС определяет режим функционирования УУиИ: режим упрвления или режим сигнализации.

Сигнал "РЕЖИМ ЭКСПЛУАТАЦИИ", поступающий в ПЛИС определяет режим эксплуатации УУиИ: в составе аппаратуры ТУ-ТС или на этапе регулировки (проверки работоспособности).


.2 Схема формирования информационного канала


Согласно техническому заданию информационный канал УУиИ должен удовлетворять следующим требованиям:

-гальваническая развязка внешних и внутренних электрических информационных цепей УУиИ;

-"логический 0" информационного электрического канала, является низкоимпедансное, относительно 0В, состояние канала;

"логической 1" информационного электрического канала, является высокоимпедансное, относительно 0В, состояние канала;

внутренние входные и выходные информационные электрические сигналы должны быть представлены в ТТЛ-уровнях;

для обеспечения возможности введения в УУиИ сигналов с активным уровнем напряжения +27В, должны быть предусмотрены устройства преобразования напряжение-импеданс (УПНИ);

устранение контактного "дребезга" входных сигналов.

Схема электрическая канала преобразования представлена на рисунке 2.1. При работе канала преобразования на входной сигнал УУиИ, ключ оптрона VO2 разомкнут и в работе участвуют только элементы R1, VO1, VD1, DA1, которые формируют входной сигнал для ПЛИС. Резистор R1 ограничивает входной ток оптрона. VD1 защищает оптрон VO1 от перенапряжений. DA1 устраняет контактный "дребезг" входного сигнала и формирует необходимый входной уровень напряжения для ПЛИС.

При работе канала преобразования на выходной сигнал УУиИ низкий импеданс формирует оптрон VO2. Управляет VO2 вход ПЛИС.

Рисунок 2.1


В качестве элементов VO1, VO2 выбраны оптроны CPC1004N фирмы CLARE, технические характеристики которого представлены в таблице 2.1. Температурный рабочий диапазон оптрона от -400С до +1100С.

.2.4 В качестве диодов VD1 выбраны полупроводниковые диоды 2Д522Б и диоды, входящие в состав диодной матрицы 2ДС627А. Электрические характеристики этих диодов приведены в таблицах 2.2-2.3. Температурный рабочий диапазон диодов от -600С до +1250С.


Таблица 2.1 - Электрические характеристики CPC1004N (при 250С)

ПараметрЗначениеПримечаниеМин.Опт.Макс.Входной ток Iвх., мА250Входной запирающий ток Iвх.зап., мА0,30,9Падение напряжения На диоде Uпад., В 0,91,21,4При Iвх.=5мАОбратное напряжение на диоде Uобр., В5,0Обратный ток диода Iобр., мкА10При Uобр.=5ВВыходной ток Iвых., мА300Сопротивление открыток ключа RON, Ом4,0При Iвых.=300мАВремя включения ключа tвкл., мс3,0При Iвх.=5мА, Uвых.= 10ВВремя отключения ключа tоткл., мс1,0При Iвх.=5мА, Uвых.= 10ВНапряжение на закрытом ключе Uвых.,100Входная мощность рассеяния Pвх., мВт70Напряжение изоляции Uиз., В1500

Таблица 2.2 - Электрические характеристики 2Д522Б

(при 250С)

ПараметрЗначениеПримечаниеМин.Опт.Макс.Прямой ток Iпр., мА100Прямой импульсный ток Iпр.имп., А1,5Падение напряжения На диоде Uпад., В 1,1Обратное напряжение на диоде Uобр., В50Обратный ток диода Iобр., мкА5

Таблица 2.3 - Электрические характеристики 2ДС627А

(при 250С)

ПараметрЗначениеПримечаниеМин.Опт.Макс.Прямой ток Iпр., мА150Прямой импульсный ток Iпр.имп., А1,5Падение напряжения На диоде Uпад., В 1,1Обратное напряжение на диоде Uобр., В50Обратный ток диода Iобр., мкА5

Расчет значения сопротивления R1 произведем по следующей формуле:


,


где UVD1 - падение напряжения на диоде VD1;ВХ.- падение напряжения на диоде VO1;ВХ.- входной ток VO1.

Выберем R1 равным 680 Ом.

Расчет значения сопротивления R2 произведем по следующей формуле:


,


гдеUVO2 ВХ.- падение напряжения на диоде VO2;ВХ.- входной ток VO1.

Выберем R1 равным 1 кОм.

Элемент DA1 производит удаление контактного "дребезга" из входного сигнала и выполнен на микросхемах MAX6816,MAX6818 фирмы MAXIM.

Микросхемы MAX6816 и MAX6818 представляют собой одно- и восьмиканальные, соответственно, буферные преобразователи, предназначенные для работы в качестве входных устройств в переключательных схемах. Микросхемы предназначены для удаления контактного "дребезга" входных сигналов. Внутренняя схема прохождения сигнала в микросхемах MAX6816 и MAX6818, а также временная диаграмма представлены на рисунке 2.2. Диапазон рабочих температур микросхем от -400С до 850С. Электрические параметры микросхем представлены в таблице 2.4.


Рисунок 2.2


Таблица 2.4 - Электрические параметры MAX6816 и MAX6818

ПараметрЗначениеПримечаниеМин.Ном.Макс.Напряжение питания Ucc, В2,75,5Ток потребления Icc, мА620Ucc=5В, Iout=0Длительность противодребезговой защиты tDP, мс205080МАХ6816204060МАХ6818Пороговое входное напряжение U0, В0,8Пороговое входное напряжение U1, В2,4Ucc=5В2,0Ucc=2,7ВСопротивление RPU, кОм3263100Диапазон входных напряжений UIN, В-2525Входной ток IIN, мА±1При UIN=±15кВВходное пороговое напряжение отпускания, В1,92,6Входной гистерезис, мВ300Пороговое выходное напряжение U0, В0,4Пороговое выходное напряжение U1, ВUcc-1,0

.3 Схема формирования сигнала СБРОС


Сигнал сброс обеспечивает задержку старта конфигурации ПЛИС при включении питания или нажатии на кнопку SB1 "СБРОС". Задержка старта конфигурации необходима для успешного цикла конфигурации после запуска преобразователя напряжения, и должна составлять не менее 10мс. Схема формирования сигнала "СБРОС" реализована на элементах SB2, C13, R5, DD1.6, DD1.5.

.4 Схема формирования сигнала ТЕСТ


Сигнал ТЕСТ включает или отключает режим тестового самоконтроля УУиИ. Формируется при помощи кнопки SB1 и микросхемы DA24 MAX6816.


.5 Схемы индикации


Схема индикации, построенная на элементах DD1.1, DD1.2, DD1.3, DD1.4,R10-R13,VD1-VD4 позволяет контролировать следующие сигналы:

-"РЕЖИМ", индицирует режим тестового самоконтроля;

-"РАБОТА", индицирует включение УУиИ;

"НОРМА", индицирует нормальное прохождение тестового самоконтроля;

"АВАРИЯ", индицирует сбой режима тестового самоконтроля.

Рассчитаем значение сопротивлений R10-R13, которые ограничивают прямой ток через светодиоды 14мА по следующей формуле:


,


где UПР.VD1 - падение напряжения на диоде;ПР.VD.- прямой ток диода.

Выберем R10-R13 равные 270 Ом.


2.6 Описание принципа работы ПЛИС


ПЛИС представляют собой полузаказную СБИС и включают реализованные на кристалле универсальные настраиваемые пользователем функциональные преобразователи и программируемые связи между этими преобразователями. По сравнению с базовыми матричными кристаллами (БМК) использование СБИС ПЛ обеспечивает существенно более короткий цикл разработки, экономический выигрыш при мелкосерийном (до нескольких тысяч изделий) производстве и возможность внесения изменений в проект на любом этапе разработки. Программирование заключается в задании нужных свойств функциональным преобразователям и установлении необходимых связей между ними. Программируемые элементы - электронные ключи. Такой цикл проектирования/изготовления занимает незначительное время, изменения могут вноситься на любой стадии разработки за считанные минуты, а внедрение новых средств проектирования на начальном этапе практически не требует материальных затрат. Производители, архитектура и возможности существующих в настоящее время типов СБИС ПЛ разнообразны. Систематизация микросхем гибкой логики производится обычно по следующим классификационным признакам:

·степень интеграции (логическая емкость);

·архитектура функционального преобразователя;

·организация внутренней структуры СБИС и структуры матрицы соединений функциональных преобразователей;

·тип используемого программируемого элемента;

·наличие внутренней оперативной памяти.

Степень интеграции (логическая емкость) - наиболее важная характеристика СБИС ПЛ, по которой осуществляется выбор. Производители СБИС ПЛ стоят на передовых рубежах электронной технологии (текущая рабочая проектная норма составляет 0,25 мкм), и число транзисторов в СБИС ПЛ большой емкости составляет десятки миллионов. Но ввиду избыточности структур, включающих большое число коммутирующих транзисторов, логическую емкость измеряют в эквивалентных логических вентилях типа 2И-НЕ (2ИЛИ-НЕ), которые понадобилось бы для реализации устройств той же сложности, что и на соответствующих СБИС. Основные производители СБИС ПЛ - фирмы Altera (34% мирового объема продаж), Xilinx (33%), Actel (9%). Максимальная логическая емкость достигнута в настоящее время в СБИС ПЛ, выпускаемых фирмой Altera (семейства FLEX10K), и составляет 1000000 логических вентилей.

Функциональные преобразователи СБИС ПЛ включают в себя настраиваемые средства реализации логических функций и триггер (т.е. являются простым конечным автоматом). Наиболее часто логические функции реализуются в виде суммы логических произведений либо на шестнадцатибитных ПЗУ (таблицы перекодировки). СБИС ПЛ с функциональными преобразователями на базе сумм термов, позволяют проще реализовывать сложные логические функции, а на базе таблиц перекодировки создавать насыщенные триггерами устройства.

Организация внутренней структуры СБИС и структуры матрицы соединений функциональных преобразователей - основной отличительный признак различных СБИС ПЛ. Большинство фирм выпускает сложные СБИС ПЛ, располагая функциональные преобразователи в горизонтальных рядах и вертикальных столбцах в виде квадратной матрицы на площади кристалла, тогда как связи между преобразователями выполняются в виде проводников, разделенных на отдельные участки (сегменты) электронными ключами. Такая одноуровневая структура получила название FPGA (Field Programmable Gate Array). Иерархическая (многоуровневая) организация СБИС ПЛ позволяет улучшить их технические характеристики. При многоуровневой организации функциональные преобразователи группируются в блоки (например, в СБИС семейств FLEX10K фирмы Altera в логический блок входит 8 функциональных преобразователей), имеющие свою собственную локальную шину межсоединений. Блоки обмениваются сигналами друг с другом через шины межсоединений верхнего уровня. Проводники межсоединений изготавливаются непрерывными (т.е. без разделения на сегменты электронными ключами), что обеспечивает малые задержки распространения сигналов и позволяет существенно сократить количество электронных ключей. Кроме того, непрерывные линии межсоединений обеспечивают возможность взаимной замены логических блоков без изменения временной модели устройства, что существенно ускоряет процедуру размещения проекта на кристалле и упрощает временное моделирование.

Тип используемого программируемого элемента - электронного ключа, определяет возможности СБИС ПЛ по программированию, перепрограммированию и хранению конфигурации при отключении питания. Наиболее перспективны программируемые элементы, выполненные по EEPROM и FLASH технологии (полевые транзисторы с плавающим затвором), обеспечивающие энергонезависимое хранение конфигурации и многократное перепрограммирование (в том числе и распаянной микросхемы непосредственно на плате), и элементы, выполненные по SRAM технологии, т.е. представляющие собой электронный ключ и триггер оперативной памяти, в который при включении питания должна быть записана конфигурирующая информация. SRAM - технология обеспечивает меньшее энергопотребление и позволяет реконфигурировать СБИС ПЛ за десятки миллисекунд, обеспечивая исходную загрузку конфигурирующей памяти и, при необходимости, реконфигурирование для адаптации структуры реализуемого устройства. Наличие внутренней оперативной памяти дает пользователю СБИС ПЛ дополнительные возможности при разработке цифровых систем. СБИС ПЛ с внутренней памятью выпускаются фирмами Altera (семейства FLEX10K), Atmel (семейство AT40K), Xilinx (семейства XC4000). Организация внутренней памяти в СБИС ПЛ различных производителей различна. В семействе FLEX10K фирмы Altera - это крупные выделенные модули памяти объемом 2 Кбит, в СБИС других производителей - распределенные по кристаллу небольшие блоки. Возможности СБИС ПЛ чрезвычайно широки и удовлетворяют различным требованиям разработчиков цифровых устройств. Семейства FLEX (SRAM технология конфигурирующих элементов) выпускаются в корпусах с числом выводов до 600, требуют загрузки конфигурации каждый раз при включении питания или при необходимости внесения изменений в функционирование СБИС, но обладают существенно большей логической емкостью по сравнению с энергонезависимыми семействами MAX и меньшим энергопотреблением на функциональный преобразователь. Семейства MAX могут обеспечить задержку сигнала до 5 нс., в то время как у семейств FLEX эта задержка не менее 8 нс. Наиболее перспективными семействами СБИС ПЛ фирмы Altera являются FLEX10K, FLEX6000, МАХ7000S,A.

Для разработки цифровых устройств на СБИС PLD фирма Altera предоставляет пользователям функционально полный пакет проектирования MAX+PLUS II. Этот программный продукт может быть установлен на персональную ЭВМ с объемом ОЗУ 32 МB или на рабочую станцию.

При выборе элементной базы систем обработки сигналов обычно руководствуются следующими критериями отбора:

·быстродействие;

·логическая ёмкость, достаточная для реализации алгоритма;

·схемотехнические и конструктивные параметры ПЛИС, надёжность, рабочий диапазон температур, стойкость к ионизирующим излучениям и т. п.;

·стоимость владения средствами разработки, включающая как стоимость программного обеспечения, так наличие и стоимость аппаратных средств отладки;

·стоимость оборудования для программирования ПЛИС или конфигурационных ПЗУ;

·наличие методической и технической поддержки;

·наличие и надёжность российских поставщиков;

·стоимость микросхем.

В качестве ПЛИС выбрана микросхема серии FLEX10K EPF10K10QC208-4.

2.7 Конфигурация ПЛИС


В качестве режима конфигурирования ПЛИС выбран пассивный последовательный. В пассивном последовательном режиме конфигурирования (PS-конфигурировании) с помощью загрузочного кабеля главный контроллер загружает данные из внешнего запоминающего устройства в микросхему ПЛИС FLEX 10K через кабель ByteBlasterMV. Для начала конфигурирования в этом режиме загрузочное устройство обеспечивает изменение уровня сигнала от "0" в "1" на выводе nCONFIG. Программирующая аппаратура затем побитно передает конфигурационные данные на вывод DATA0 микросхемы ПЛИС. Данные синхронно принимаются конфигурируемой микросхемой до момента перехода вывода CONF_DONE в состояние "1".

В качестве конфигурационного ПЗУ выбрана микросхема EPC2LC20.


.8 Схемы преобразователя постоянного напряжения


В качестве преобразователя постоянного напряжения +27В ва постоянное напряжение +5В выбран модуль питания МПВ10А фирмы "ИРБИС". Cерия МП.10 модулей питания представляет собой высокоэффективные 10 вт преобразователи изготовленные с использованием технологии поверхностного монтажа на импортной элементной базе. Малые габариты и вес, низкий профиль, гальваническая развязка, высокая стабильность выходного напряжения, защита от перегрузки и короткого замыкания делают модуль незаменимым в системе распределенного питания. Высокий коэффициент полезного действия 80%. Внешнее выключение. Защита от перегрузки и короткого замыкания. Металлический корпус. Гальваническая развязка входных и выходных цепей 500В. От минус 40°С до + 50°С температура окружающей среды. Наработка на отказ 30000 часов. Точность установки выходного напряжения ±2%.Пульсации выходного напряжения не превышают 150мВ.

3. Разработка программной части УУиИ


.1 Краткий обзор оболочки MAX-PLUSII


Название системы MAX+PLUS II является аббревиатурой от Multiple Array MatriX Programmable Logic User System. Система MAX+PLUS II имеет средства удобного ввода проекта, компиляции и отладки, а также непосредственного программирования устройств.

Процедуру разработки нового проекта от концепции до завершения можно упрощённо представить следующим образом:

1.создание нового файла проекта или иерархической структуры нескольких файлов проекта с помощью любого сочетания редакторов в системе MAX+PLUS II, то есть графического, текстового и сигнального редакторов;

2.задание имени файла - проекта верхнего уровня в качестве имени проекта;

.назначение семейства ПЛИС для проекта;

.открытие окна компилятора Compiler и выбор кнопки Start для начала компиляции проекта. По желанию пользователя можно подключить модуль извлечения временных параметров проекта Timing SNF Extractor для создания файла, используемого при временном моделировании;

.в случае успешной компиляции возможен временной анализ, для чего следует выполнить следующее:

·для проведения временного анализа задержек открыть окно Timing Analyzer, выбрать режим анализа и нажать кнопку Start;

·для проведения симуляции нужно сначала создать векторной тестовый вектор в файле канала тестирования (.scf), пользуясь сигнальным редактором, или в файле вектора (.vec), пользуясь текстовым редактором. Затем открыть окно отладчика Simulator и нажать кнопку Start;

6.открытие окна программатора Programmer с по-следующим выбором одного из двух способов: использование программатора MPU (Master Programming Unit) или подключение загрузочных устройств BitBlaster, Byte-Blaster или FLEX Download Cable к устройству, программируемому в системе;

7.выбор кнопки Program для программирования устройств с памятью типа EPROM или EEPROM либо выбор кнопки Configure для конфигурации устройства с памятью типа SRAM.

ПО системы MAX+PLUS II содержит 11 приложений и главную управляющую программу. Различные приложения, обеспечивающие создание проекта, могут быть активизированы мгновенно, что позволяет пользователю переключаться между ними щелчком мыши или с помощью команд меню. В это же время может работать одно из фоновых приложений, например, компилятор, симулятор, анализатор синхронизации и программатор. Одни и те же команды разных приложений работают одинаково, что облегчает задачу разработки логического дизайна.

Файл проекта - это графический, текстовый или сигнальный файл, созданный с помощью графического или сигнального редакторов системы MAX+PLUS II или в любом другом, использующем промышленные стандарты, схемном или текстовом редакторе либо при помощи программы netlist writer, имеющейся в пакетах, поддерживающих EDIF, VHDL и Verilog HDL. Этот файл содержит логику для проекта MAX+PLUS II и компилируется компилятором. Компилятор может автоматически обрабатывать следующие файлы проекта: графические файлы проекта (.gdf); текстовые файлы проекта на языке AHDL (.tdf); сигнальные файлы проекта (.wdf); файлы проекта на языке VHDL (.vhd); файлы проекта на языке Verilog (.v); схемные файлы OrCAD (.sch); входные файлы EDIF (edf); файлы формата Xilinx Netlist (.xnf); файлы проекта Altera (.adf); файлы цифрового автомата (.smf). радиолокационный станция сигнал узол

Вспомогательные файлы - это файлы, связанные с проектом MAX+PLUS II, но не являющиеся частью его иерархического дерева. Большинство таких файлов не содержит логики проекта. Некоторые из них создаются автоматически приложением системы MAX+PLUS II, другие - пользователем. Примерами вспомогательных файлов являются файлы назначений и конфигурации (.acf), символьные файлы (.sym), файлы отчета (.rpt) и файлы тестовых векторов (.vec).

Проект состоит из всех файлов иерархической структуры проекта, в том числе вспомогательных и выходных файлов. Именем проекта является имя файла проекта верхнего уровня без расширения. Система MAX+PLUS II выполняет компиляцию, тестирование, анализ синхронизации и программирование сразу целого проекта, хотя пользователь может в это время редактировать файлы этого проекта в рамках другого проекта. Для каждого проекта желательно создавать отдельный подкаталог в рабочем каталоге системы MAX+PLUS II.

В системе MAX+PLUS II легко доступны все инструменты для создания проекта. Разработка проекта ускоряется за счёт имеющихся стандартных функций, в том числе примитивов, мегафункций, библиотеки параметризованных модулей (LPM) и макрофункций устаревшего типа микросхем 74 серии. В системе MAX+PLUS II есть три редактора для разработки проекта: графический, текстовый и сигнальный, а также два вспомогательных редактора: поуровневый планировщик и символьный редактор.


3.2 Краткий обзор языка AHDL

(язык описания аппаратуры фирмы Altera) является высокоуровневым, модульным языком, полностью интегрированным в систему MAX+PLUS II. Он особенно хорошо подходит для проектирования сложной комбинационной логики, шин, конечных автоматов, таблиц истинности и параметрической логики. Есть возможность использовать текстовой редактор системы MAX+PLUS II или любой другой для создания текстовых файлов проектов (AHDL Text Design Files (.tdf)). Затем Вы можете откомпилировать TDF файлы для получения выходных файлов, пригодных для последующего моделирования, временного анализа и программирования устройства. Кроме того компилятор системы MAX+PLUS II может создавать текстовые файлы экспортирования (AHDL Text Design Export Files (.tdx)) и текстовые выходные файлы (Text Design Output Files (.tdo)), которые можно сохранить как TDF файлы и повторно использовать в качестве файлов проекта.

Операторы и элементы AHDL являются мощным, многогранным и легким в использовании средством. Вы можете создавать весь иерархический проект с помощью AHDL или смешивать TDF файлы с другими типами файлов в один проект.

AHDL проект легко вставить в иерархию проекта. В текстовом редакторе можно автоматически создавать символ, представляющий TDF файл и вводить его в графический файл проекта (Graphic Design File (.gdf)). Аналогичным образом можно объединять заказные функции и свыше 300 мегафункций и макрофункций, поставляемых компанией ALTERA, включая функции библиотеки параметрических модулей (LPM), в любой TDF файл.


3.3 Структура основного модуля программы ПЛИС


Основной программный модуль состоит из вызовов следующих подпрограмм:

  • подпрограмма формирователя режима работы ПЛИС;
  • подпрограмма формирователя сетки частот и управляющих сигналов;
  • подпрограмма устройства тестового самоконтроля;
  • подпрограмма устройства обработки информации;
  • подпрограмма выходного мультиплексора.
  • В основной программный модуль ПЛИС подводятся следующие сигналы:
  • 1) in[56..0]- входные информационные сигналы ПЛИС;
  • 2) clk- входная тактовая частота 1 МГц;
  • 3) test- входной сигнал ТЕСТ с активным уровнем "лог.0";
  • 4) tu- входной сигнал, определяющий режим эксплуатации УУиИ;
  • 5) wmode- входной сигнал, определяющий вариант исполнения УУиИ;
  • 6) out[56..0]- выходные информационные сигналы ПЛИС;
  • 7) mode- выходной сигнал, определяющий режим тестового самоконтроля ПЛИС;
  • 8) tnorm- выходной сигнал, определяющий положительный результат тестового самоконтроля;
  • 9) terror- выходной сигнал, определяющий отрицательный результат тестового самоконтроля;
  • 10) upr- выходной сигнал, разрешающий работу кварцевого генератора тактовой частоты 1МГц.
  • Сигнал nreset является внутренним сигналом ПЛИС и выполняет функцию начальной установки внутренних схем в требующееся исходное состояние при включении питания. Активный уровень сигнала - низкий. Формируется сигнал при помощи подпрограммы устройства формирования сетки частот и управляющих сигналов.
  • Сигналы data_ts[56..0] являются тестовыми сигналами, которые транслируются на выходные информационные линии ПЛИС в режиме тестового самоконтроля. Тестовые сигналы представляют собой "бегущую единицу" и формируются при помощи подпрограммы устройства формирования сетки частот и управляющих сигналов.
  • Сигналы ft_ts, cf, nres являются внутренними сигналами ПЛИС, формирующимися подпрограммой устройства формирования сетки частот и управляющих сигналов, и использующимися подпрограммой устройства тестового самоконтроля.
  • Сигналы ftt и ftt2 представляют собой частоты в 1 Гц и 0,5 Гц, соответственно.
  • Сигнал ftt3 представляет собой сигнал с постоянной частотой и периодом 60 c.
  • Файл основного модуля программы ПЛИС имеет имя usc.tdf.
  • 3.4 Подпрограмма формирователя режима работы ПЛИС
  • Формирователь режима работы ПЛИС формирует сигнал MODE, определяющий режим тестового самоконтроля УУиИ. При поступлении низкого уровня сигнала TEST и наличии высокого уровня сигнала TU сигнал MODE переключается на противоположный уровень. Низкий уровень сигнала TU запрещает переключение сигнала MODE и устанавливает его в низкий уровень.
  • Когда MODE=1, то это говорит о включении режима тестового самоконтроля. Разрешается трансляция сигналов tnorm и terror, формирующихся подпрограммой устройства тестового самоконтроля, на выход ПЛИС, и включается соответствующая индикация УУиИ. Мультиплексор выходных информационных сигналов начинает трансляцию тестовых сигналов на свои выходные линии.
  • При MODE=0 запрещается трансляция сигналов tnorm и terror, а мультиплексор выходных сигналов переключается на трансляцию выходных информационных сигналов устройства обработка сигналов.
  • Файл подпрограммы устройства формирования режима работы ПЛИС имеет имя form_mode.tdf.
  • 3.5 Подпрограмма формирователя сетки частот и управляющих сигналов
  • Входным сигналом формирователя сетки частот является тактовая частота 1МГц.
  • В результате деления этой частоты, при помощи 26-разрядного счетчика, формируются следующие сигналы
  • data_ts[56..0] - тестовые информационные сигналы;
  • ft_ts, cf, nres - сигналы тактовой частоты, использующиеся в устройстве тестового самоконтроля;
  • ftt - сигнал с частотой 0,5 Гц;
  • ftt2 - сигнал с частотой 1 Гцж
  • ftt3 - сигнал с периодом 60с.

Сигнал начальной установки nreset формируется при помощи сигнала ftt2.

Файл подпрограммы формирователя сетки частот и управляющих сигналов имеет имя form_f.tdf.


.6 Подпрограмма устройства тестового самоконтроля


В режиме тестового самоконтроля ПЛИС транслирует на информационные выходы тестовые сигналы в виде "бегущей единицы, после чего сравнивает его с входными сигналами и формирует, по результатам, сигналы tnorm и terror.

При запуске тестового самоконтроля с использованием микросхем DA6-DA9 производится дополнительная инверсия входных сигналов IN0-IN3

Файл подпрограммы устройства тестового самоконтроля имеет имя form_control.tdf.


.7 Подпрограмма выходного мультиплексора


Выходной мультиплексор в режиме тестового самоконтроля транслирует на информационные выходы ПЛИС сигналы тестового самоконтроля, а в режиме работы сигналы с выхода устройства обработки сигналов и производится дополнительное стробирование их тактовой частотой 1 МГц.

Файл подпрограммы устройства обработки сигналов имеет имя form_out.tdf.


.8 Подпрограмма устройства обработки сигналов


В зависимости от варианта исполнения (по сигналу WMODE), устройство обработки сигналов вызывает подпрограмму режима управления или подпрограмму режима сигнализации. Файл подпрограммы устройства обработки сигналов имеет имя proc.tdf.


.9 Подпрограмма режима управления


Входные и выходные сигналы УуиИ, работающего в режиме управления, приведены в таблицах 3.1 - 3.4.

В скобках, после наименования сигнала, указан его активный уровень. Выходные сигнала формируются согласно разработанной схемы функциональной структуры. Выходной сигнал "Признак" имеет постоянный уровень логического нуля.

Файл подпрограммы режима управления имеет имя proc_usc.tdf.


Таблица 3.1 - Входные сигналы управления ПК

Наименование сигналаНаименование контакта на Х1Основ. ПК 1 ТУ-ТС (лог.0)Вх./Вых.27Основ. ПК 2 ТУ-ТС (лог.0)Вх./Вых.28Предв. ПК вкл. ТУ-ТС (лог.0)Вх./Вых. 7Предв. ПК откл. ТУ-ТС (лог.0)Вх./Вых. 9Предв. ПК вкл ПРЛС (лог.0)Вх./Вых. 8Предв. ПК откл. ПРЛС (лог.0)Вх./Вых.10Работа ПК вкл. ТУ-ТС (лог.0)Вх./Вых.11Работа ПК откл. ТУ-ТС (лог.0)Вх./Вых.13Работа ПК вкл. ПРЛС (лог.0)Вх./Вых.12Работа ПК откл. ПРЛС (лог.0)Вх./Вых.14Аварийное включение ПК (лог.0)Вх./Вых.48Аварийное отключение ПК (лог.0)Вх./Вых.49

Таблица 3.2 - Входные сигналы управления ВК

Наименование сигналаНаименование контакта на Х1Основ. ВК 1 ТУ-ТС (лог.0)Вх./Вых.31Основ. ВК 2 ТУ-ТС (лог.0)Вх./Вых.32Предв. ВК вкл. ТУ-ТС (лог.0)Вх./Вых.17Предв. ВК откл. ТУ-ТС (лог.0)Вх./Вых.19Предв. ВК вкл ПРЛС (лог.0)Вх./Вых.18Предв. ВК откл. ПРЛС (лог.0)Вх./Вых.20Работа ВК вкл. ТУ-ТС (лог.0)Вх./Вых.21Работа ВК откл. ТУ-ТС (лог.0)Вх./Вых.23Работа ВК вкл. ПРЛС (лог.0)Вх./Вых.22Работа ВК откл. ПРЛС (лог.0)Вх./Вых.24Аварийное включение ВК (лог.0)Вх./Вых.50Аварийное отключение ВК (лог.0)Вх./Вых.51

Таблица 3.3 - Входные сигналы режимов

Наименование сигналаНаименование контакта на Х1Метео. вкл. (ТУ-ТС)Вх./Вых.35Синхр. вкл. (ТУ-ТС)Вх./Вых.38НКГ вкл.(ТУ-ТС)Вх./Вых.41ДУ вх. (лог.0)Вх./Вых.55ЦУ вх. (лог.0)Вх./Вых.5МУ вх. (лог.0)Вх./Вых.3Ремонт ПК (лог.0)Вх./Вых.56Ремонт ВК (лог.0)Вх./Вых.54Запрет вх. (лог.0)Вх./Вых.1

Таблица 3.4 - Выходные сигналы УУиИ в режиме управления

Наименование сигналаНаименование контакта на Х1Основ. ПК 1 (лог.0)Вх./Вых.29Основ. ПК 2 (лог.0)Вх./Вых.30Предв. ПК вкл. (лог.0)Вх./Вых.15Работа ПК вкл.. (лог.0)Вх./Вых.16Основ. ВК 1 (лог.0)Вх./Вых.33Основ. ВК 2 (лог.0)Вх./Вых.34Предв. ВК вкл. (лог.0)Вх./Вых.25Работа ВК вкл.. (лог.0)Вх./Вых.26Метео. вкл. 1Вх./Вых.36Метео. вкл. 2Вх./Вых.37Синхр. вкл. 1Вх./Вых.39Синхр. вкл. 2Вх./Вых.40НКГ. вкл. 1Вх./Вых.42НКГ. вкл. 2Вх./Вых.43ДУ вых. (лог.0)Вх./Вых.46ЦУ вых. (лог.0)Вх./Вых.6МУ вых. (лог.0)Вх./Вых.4Ремонт вых. (лог.0)Вх./Вых.2Признак вых. (лог.0)Вх./Вых.0

3.10 Подпрограмма режима сигнализации


Входные и выходные сигналы УуиИ, работающего в режиме сигнализации, приведены в таблицах 3.5-3.9. В скобках, после наименования сигнала, указан его активный уровень. Выходные сигнала формируются согласно разработанной схемы функциональной структуры.

Файл подпрограммы режима управления имеет имя proc_usc_01.tdf.


Таблица 3.5 - Входные сигналы контроля

Наименование сигналаНаименование контакта на Х1Норма ПРМ ПК (лог.0)Вх./Вых.42Ухудшение УОВС (лог.0)Вх./Вых.3Ухудшение ШСС ПК (лог.0)Вх./Вых.17Ухудшение ПРД ПК (лог.0)Вх./Вых.41Авария +27 В (лог.0)Вх./Вых.1Авария ШСС ПК (лог.1)Вх./Вых.9Авария ПРД ПК (лог.0)Вх./Вых.45Авария УОВС (лог.1)Вх./Вых.46Авария привода 1 (лог.0)Вх./Вых.52Авария привода 2 (лог.0)Вх./Вых.2Авария ПВК 1 (лог.1)Вх./Вых.31Авария ПВК 2 (лог.1)РезервНорма ПРМ ВК RBS (лог.0)Вх./Вых.12Норма ПРМ ВК УВД (лог.0)Вх./Вых.55Ухудшение ШСС ВК (лог.0)Вх./Вых.11Ухудшение ПРД ВК (лог.0)Вх./Вых.16Авария ШСС ВК (лог.1)Вх./Вых.10Авария ПРД ВК (лог.1)Вх./Вых.8

Таблица 3.6 - Входные сигналы управления

Наименование сигналаНаименование контакта на Х1ДУ1Вх./Вых24.ДУ2Вх./Вых.43Предв. вкл. ПК (лог.0)Вх./Вых.32Предв. вкл. ВК (лог.0)Вх./Вых.44Высокое ПРД ПК вкл. (лог.0)Вх./Вых.40Высокое ПРД ВК вкл. (+27В)РезервГотов резерв ПК (лог.0)Вх./Вых.28Готов резерв ВК (+27В)Вх./Вых.0Основной ПК (лог.0)Вх./Вых.25Основной ВК (лог.0)Вх./Вых.5Ручной переходВх./Вых.18Сброс аварий (лог.0)Вх./Вых.22Отказ (рез.) ПК (лог.0)Вх./Вых.38Повреж. (рез.) ПК (лог.0)Вх./Вых.56Отказ (рез.) ВК (лог.0)Вх./Вых.50Повреж. (рез.) ВК (лог.0)Вх./Вых.7Вкл РР (лог.0)Вх./Вых.30

Таблица 3.7 - Выходные сигналы КДП

Наименование сигналаНаименование контакта на Х1Исправн. ПК КДП (лог.0)Вх./Вых.13Исправн. ВК КДП (лог.0)Вх./Вых.4Отказ ПК КДП (лог.0)Вх./Вых.21Отказ ВК КДП (лог.0)Вх./Вых.19Поврежд. ПК КДП (лог.0)Вх./Вых.20Поврежд. ВК КДП (лог.0)Вх./Вых.23

Таблица 3.8 - Выходные сигналы ПРЛС

Наименование сигналаНаименование контакта на Х1Испр. ПРД ПК ПРЛС (лог.0)Вх./Вых48Испр. ПРД ВК ПРЛС (лог.0)Вх./Вых.29Испр. ПРМ ПК ПРЛС (лог.0)Вх./Вых.51Испр. ПРМ ВК ПРЛС (лог.0)Вх./Вых.54Испр. УОВС ПРЛС (лог.0)Вх./Вых.49Испр. синхр. ПРЛС (лог.0)Вх./Вых.27Отказ ПРД ПК ПРЛС (лог.0)Вх./Вых.47Отказ ПРД ВК ПРЛС (лог.0)Вх./Вых.26Отказ ПРМ ПК ПРЛС (лог.0)Вх./Вых.34Отказ ПРМ ВК ПРЛС (лог.0)Вх./Вых.35Отказ УОВС ПРЛС (лог.0)Вх./Вых.37Отказ Синхр. ПРЛС (лог.0)Вх./Вых.36Повреждение ПРЛС (лог.0)Вх./Вых.14

Таблица 3.9 - Выходные сигналы аварийного переключения комплектов

Наименование сигналаНаименование контакта на Х1Аварийное откл. ПК (лог.0)Вх./Вых.33Аварийное откл. ВК (лог.0)Вх./Вых.53Аварийное вкл. ПК (лог.0)Вх./Вых.39Аварийное вкл. ВК (лог.0)Вх./Вых.6

Входные сигналы контроля ПК и ВК запоминаются в ОЗУ после снятия соответствующих сигналов "Предв. вкл. ПК" и "Предв. вкл. ПК". Посигналу "Сброс аварий" ОЗУ переводится в неаварийное состояние. Далее сигналы контроля транслируются на схему формирования сигналов индикации, которая формирует сигналы индикации на ПРЛС, КДП и схему аварийного переключения комплектов.

Сигналы НОРМА КДП, НОРМА ПРЛС формируются из путем соответствующего сложения сигналов контроля ПК и ВК. Сигналы ОТКАЗ ПРЛС, ОТКАЗ КДП, ПОВРЕЖДЕНИЕ ПРЛС, ПОВРЕЖДЕНИЕ КДП формируются путем соответствующего сложения сигналов контроля с выходов ОЗУ.

Сигнал "Вкл. РР" запускает таймер на 5 секунд и тем самым трансляция отказов ПРЛС переключается на трансляцию соответствующих повреждений. По истечении времени восстанавливается трансляция отказов.

Схема аварийного переключения комплектов формирует сигналы аварийного включения резервных комплектов ПК и ВК и отключения основных при возникновении аварийного состояния на основном комплекте. Сигналы аварийного переключения формируются при условии наличия сигналов "ДУ1" и "ДУ2". Сигналы аварийного отключения основного комплекта формируются при условии наличия сигналов "Готов" и отсутствии сигналов ручного перехода.


4. Технологический раздел


4.1 Постановка задачи


Поскольку реализация дипломного проекта подразумевает разработку программного продукта с привязкой к аппаратной части, то в качестве задания на данный раздел было преложено разработать инструкцию по прошивке микросхемы ПЗУ EPC2, входящей в состав платы "Устройство управления и индикации РЛС", фирмы ALTERA.


4.2 Текст документа


Основание для разработки

Основанием для разработки является задание заместителя начальника НТЦ Симонова П.А. на разработку инструкции по программированию микросхемы ПЗУ EPC2, входящей в состав платы "Устройство управления и индикации РЛС", фирмы ALTERA.

Назначение разработки

Данная инструкция определяет порядок программирования платы "Устройство управления и сигнализации РЛС" ТБИС.468383.004, а именно, входящую в ее состав микросхему ПЗУ типа EPC2 фирмы ALTERA. Информационное содержание микросхемы ПЗУ определяется файлом прошивки usc.pof.

Перечень применяемого оборудования

Перечень применяемого для программирования ПЗУ оборудования и программного обеспечения представлен в таблице 4.1.


Таблица 4.1

НаименованиеПараметрыКоличество1.Персональный компьютер IBM-PC- Процессор - не хуже 486 - ОЗУ - не меньше 16 МБ - Свободное место на диске - не меньше 400 МБ - Параллельный порт12.Кабель "ByteBlasterMV"ТБИС.468353.003-0113.Установленная программа MaxPlusII версия 10.0 (не ниже)14.Источники питанияБ5-71

Программирование

Программирование микросхем ПЗУ EPC2 производится непосредственно на плате из программной оболочки Max+PlusII фирмы ALTERA. К программированию допускается плата, прошедшая визуальную проверку монтажа и проверку на отсутствие короткого замыкания. Плата с установленной микросхемой ПЗУ должна быть подключена к источнику питания в соответствии с рисунком 4.1.

Подключить плату (JTAG разъем Х2) при помощи кабеля "ByteBlasterMV" к параллельному порту компьютера. Подключение кабеля производить при выключенном компьютере и выключенных источниках питания.

Для работы с файлами прошивки рекомендуется создать на "винчестере" рабочую директорию (например - С:\ALTERA_pof\).

Переписать с архивной дискеты необходимый файл прошивки usc.pof в рабочую директорию на "винчестере".

Включить источники питания и компьютер.

Загрузить программу Max+PlusII (C:\maxplus2\max2win.exe) - см. рисунок 4.1.


Рисунок 4.1- Рабочее окно программы Max+PlusII


Выбрать значок программатора (см. рисунок 4.2 и 4.3).

После выбора программатора в строке команд появится "меню" - "Options" (см. рисунок 4.3). В этом "меню" необходимо выбрать команду - "Hardware setup…" и в появившемся окне настройки (см. рисунок 4.4) в выпадающем меню "Hardware Type:" установить тип кабеля "ByteBlaster(MV)" (нажать кнопку "ОК").


Рисунок 4.2


Рисунок 4.3


Выбрать кнопку - загрузка программирующего файла (см. рис. 4.5 и 4.6);


Рисунок 4.5


Рисунок 4.6


В диалоговом окне укажите путь к файлу и выберите его (выделить файл и нажать кнопку "ОК"). Для облегчения поиска можно включить "флажок" отображения только ххх.pof файлов (см. рисунок 4.6).

Если до этого производились работы с другим файлом, то программа запросит подтверждение на изменение текущего проекта (см. рис. 4.7). Необходимо подтвердить изменение проекта нажатием кнопки "ОК".


Рисунок 4.7


После этого программатор готов к работе (см. рисунок 4.8). Нажатие кнопки "Program" активизирует процесс программирования (см. рисунок 4.9), который будет автоматически выполнен в три этапа: "Examine" - тестирование микросхемы; "Program" - программирование;

"Verify" - верификация оригинала и прошивки.

После успешного программирования будет выдано сообщение (см. рисунок 4.10).


Рисунок 4.8


Рисунок 4.9


Рисунок 4.10


При положительном результате программирования плата должна пройти полную проверку соответствующим алгоритмам функционирования. В случае ошибки, следует повторить попытку программирования. Если процесс программирования не идет, то следует:

проверить наличие питающего напряжения (+5 В) на соответствующем контакте разъема Х2;

провести детальный анализ монтажа и печатных проводников от разъема Х2 до микросхемы ПЗУ;

проверить исправность кабеля "ByteBlasterMV";

выполнить демонтаж микросхемы и установку новой микросхемы ПЗУ.


5. Вопросы безопасности жизнедеятельности


В дипломном проекте разрабатывается электронное устройство "Устройство управления и индикации РЛС", поэтому в данном разделе необходимо рассмотреть вопросы, связанные с охраной труда, как на этапе производства, так и при эксплуатации.


.1 Анализ условий эксплуатации проектируемой системы


Проектируемая система является стационарным устройством, которое размещается в контейнере аппаратной машины.

Для аппаратуры, размещаемой внутри контейнера:

температура окружающего воздуха от 283 до 313 К (от +5°С до +40°С);

- относительная влажность окружающего воздуха до 80% при температуре не выше 298 К (+25°С);

Аппаратная машина является пожароопасными помещением. Для исключения возможности возникновения пожара необходимо выполнять следующие правила:

) следить за исправностью оборудования, надежностью контактов;

) систематически производить чистку вентиляционных каналов от пыли и проверку системы вентиляции;

) при пользовании системой терморегулирования строго соблюдать требования инструкции по эксплуатации;

) иметь вблизи от изделий полный комплект исправных средств пожаротушения (огнетушители углекислотные, лопата, лом и т.д.);

) не хранить в контейнере горючие и легковоспламеняющиеся вещества и посторонние предметы.

Для обеспечения защиты от поражения электрическим током, при прикосновении к металлическим нетоковедущим частям, которые могут оказаться под напряжением в результате повреждения изоляции, применяется зануление, которое обеспечивает быстрое автоматическое отключение поврежденной установки от сети или снижения напряжения на нетоковедущих частях, оказавшихся под напряжением.


.2 Соответствие проектируемой системы требованиям безопасности


Проектируемая система как электротехническое устройство соответствует требованиям ГОСТ 12.2.007-75 ССБТ. Изделия электротехнические. Общие требования безопасности.

Проектируемая плата представляет собой узел, входящий в конструкцию другого изделия. Электрическая схема изделия исключает возможность его самопроизвольного включения и отключения. Конструкция изделия исключает возможность неправильного присоединения его сочленяемых токоведущих частей у потребителя (используется соответствующий разъем), что создает безопасные условия для обслуживающего персонала. Также в системе существуют зануление металлических нетоковедущих частей изделия, защитный кожух для предотвращения возможности случайного прикосновения к токоведущим частям, а также предупредительные надписи, в местах возможных прикосновений к токоведущим частям устройства.

По способу защиты человека от поражения электрическим током система относится к I классу, так как оснащена рабочей изоляцией и элементами для присоединения нулевого защитного проводника.

Пожарная безопасность обеспечена мерами пожарной профилактики и устройствами пожаротушения в соответствии с ГОСТ 12.1.004-91. Пожарная безопасность. Общие требования.

Электрическая схема изделия исключает возможность его самопроизвольного включения и отключения. Конструкция изделия исключает возможность неправильного присоединения сочленяемых токоведущих частей и обеспечивает удобство и безопасность при выполнении механосборочных работ и проведения обслуживания за счет применения маркировки разъемов.

Корпус устройства соединен с основными частями системы в единую конструкцию, закрывает опасную зону и снимается только при помощи инструмента. Ввод проводов в корпус осуществляется через изоляционные детали, и исключает замыкание проводников на корпус и между собой. Система соответствует требованиям эргономики и эстетики, и обеспечивает удобство и безопасность работы во всех режимах. Существует световая сигнализация аварийных режимов работы "ОТКАЗ", "НОРМА". Плата функционирует без участия человека.

Следовательно, электротехническое устройство соответствует общим требованиям безопасности при эксплуатации электротехнических устройств.


.3 Анализ опасных и вредных производственных факторов


В процессе изготовления спроектированной системы выполняются такие операции как сборка печатных плат (пайка, нанесение защитных покрытий), регулировка и испытание. При проведении этих работ возникают опасные и вредные производственные факторы (ОВПФ).

Наиболее опасным из перечисленных факторов являются пары свинца, образующиеся при пайке. Чтобы снизить концентрацию свинца в воздухе на рабочем месте необходимо снабдить его местным вентиляционным отсосом. Для исключения загрязнения окружающей среды откачиваемым воздухом, содержащим пары свинца, необходимо использовать сменные регенерируемые фильтры, предотвращающие попадание вредных веществ за пределы предприятия в атмосферу.

Кроме того, при несоблюдении правил техники безопасности (ТБ) и технологического процесса, на некоторых операциях может возникнуть дополнительная опасность вредного воздействия:

1) При пайке и лужении возникает опасность теплового ожога и поражения электрическим током. Опасность поражения электрическим током устраняется применением паяльника ПНТ-36-40 с рабочим напряжением 36 вольт. В качестве защиты от химических ОВПФ необходимо использовать местную вентиляцию. Целесообразной в данном случае является полная автоматизация процесса.

) Промывка паяных соединений спиртом и покрытие лаком, маркировка и сушка. Для устранения влияния вредных испарений используется вытяжной шкаф типа ШВ2-НЖ и сушильный шкаф типа ШВС-1 с вытяжной вентиляцией.

) Операция разделки проводов и формирование жгута. На данной операции может использоваться электрообжигалка и вследствие этого появляется опасность теплового ожога, поражения электрическим током и загрязнения атмосферы. Меры защиты: использование щита для защиты рук от ожога, применение заземления источника питания и надежной изоляции электропроводов, вентиляция.

) Влагозащита проводного монтажа и паяных соединений. ОВПФ - вредные пары лака (УР-23).Мероприятием по защите является использование шкафа ШВ 2 - НЖ.

) Установка печатных узлов в корпус блока. На данном этапе, а так же на операциях сборки корпуса, возможно травмирование сборочным инструментом и принадлежностями. Для предотвращения этого необходимо использовать исправный инструмент, приспособления и индивидуальные средства защиты (перчатки, спецодежду и т.п.).

) Проверка правильности функционирования и регулировка блока. ОВПФ - опасность поражения электрическим током. Для предотвращения опасности поражения электрическим током необходимо применять низковольтные источники питания и приборы с гальванической развязкой, а так же заземление и электропровода с надежной изоляцией.

При регулировке блока и проверке правильности функционирования отдельных узлов используется микропроцессорная система на базе ПЭВМ со специализированным программным обеспечением. Вследствие этого возможно влияние на оператора опасных и вредных факторов, связанных с работой на ПЭВМ. ОВПФ, которые могут воздействовать на оператора ПЭВМ, связаны, во-первых, с техническими характеристиками и работой ЭВМ (шум, электромагнитное излучение, разрешающая способность монитора и др.), а также с видом используемой программы. Во-вторых, они связаны с неблагоприятными условиями среды, в которой работает оператор (неправильное освещение, запыленность воздуха и др.).

Операции сборки корпуса, установки печатных плат в корпус блока, визуального контроля правильности установки печатных узлов и окончательной сборки блока, при соблюдении правил ТБ и технологического процесса (в соответствии с ГОСТ 12.3.002-75 "Процессы производственные"), не должны представлять опасности для персонала и окружающей среды.

Поскольку устройство обладает небольшими массогабаритными показателями и на данном участке производства осуществляется лишь сборка, изготовление и установка печатных узлов, то физические ОВПФ связанные с массой и габаритами можно исключить.

Для уменьшения влияния психофизиологических ОВПФ, необходима правильная комплексная организация режимов работы и отдыха, периодический медицинский контроль, правильная организация рабочих мест и автоматизация опасных и вредных технологических процессов.

В процессе эксплуатации радиоэлектронная аппаратура подвергается климатическим воздействиям, под влиянием которых происходит ухудшение электрических и механических параметров РЭА, а также может наступить полное разрушение.

При производстве РЭА проводятся климатические и механические испытания, которые должны быть организованы так, чтобы работающим обеспечивались нормальные условия труда.

Климатические испытания проводятся в специально оборудованных камерах или помещениях, доступ в которые при установленном климатическом режиме исключается с помощью блокировочных устройств. Камеры и помещения с климатической средой герметичны с целью исключения попадания элементов климатической среды (влаги, пыли, газов и т.д.) в воздух помещений, где постоянно пребывают работающие. Для периодической дезинфекции воздушной среды помещения оборудуются общеобменной вентиляцией и противобактерицидными лампами. Работающие обеспечиваются средствами индивидуальной защиты от воздействия высоких и низких температур.


6. Организационно-экономический раздел


Целью данного раздела является планирование работ по созданию дипломного проекта. Для достижения этой цели применяется метод сетевого планирования и управления, используемый при оптимизации управления сложными комплексами работ. В рамках методов сетевого планирования и управления строится сетевая модель проекта - графическое описание плана работ, показывающее взаимосвязь между всеми работами, входящими в проект.

Цель построения сетевого графика - получение информации о плановых сроках выполнения работ.

Кроме того, в данном разделе делается оценка экономической эффективности выбранного пути решения задачи проекта, на основе расчета технико-экономических показателей.


.1 Технико-экономическое обоснование


Задачей дипломного проектирования является разработка платы "Устройство управления и индикации РЛС" входящего в состав блока ТУ-ТС изделия АОРЛ-1С.

Высокая точность и надежность, увеличение объема информации ведет к усложнению и удорожанию аппаратуры управления полетами. Следствием создавшейся ситуации является поиск новых технических решений, которые позволят обеспечить требуемые точность и надежность минимумом радиолокационного оборудования.

Необходимость создания новой системы была продиктована необходимостью уменьшения габаритов, повышения безопасности посадки самолетов.

Новая плата "Устройство управления и индикации РЛС" позволяет сократить количество применяемых плат, за счет применения новой элементной базы и новых схемных решений. Вследствие этого значительно уменьшаются габариты новой системы по сравнению со старой, повышается надежность всей аппаратуры.

С экономической точки зрения необходимость разработки новой платы очевидна. За счет снижения количества используемых плат, применения современной элементной базы и новых технологий происходит снижение трудозатрат при изготовлении радиолокатора, повышает его надежность и увеличивает срок службы.


.2 Составление индивидуального перечня работ и построение сетевого графика


Заданный комплекс работ упорядочивается в их логической последовательности с выделением отдельных групп работ, которые могут и должны выполняться параллельно.

Ожидаемая продолжительность работы tij в сетевом графике рассчитывается по принятой двухоценочной методике, исходя из минимальной tijmin и максимальной tijmax оценок продолжительности, задаваемых ответственным исполнителем каждой работы. При этом предполагается, что минимальная оценка соответствует наиболее благоприятным условиям работы, а максимальная - наиболее неблагоприятным.

Ожидаемая продолжительность складывается из 0.6 минимальной и 0.4 максимальной продолжительностей:


(6.2.1)


Рассчитанные значения ожидаемой продолжительности работы сведены в таблицу индивидуального перечня работ (таблица 6.1).

Таблица 6.1 - Перечень и параметры работ сетевого графика

Код работыНаименование работыИсполнители, чел.Продолжительность, днейНСИТРЛаб.Мин.МаксОжид123456780-1Получение и анализ технического задания11-2331-2Сбор и систематизация научно-технической информации-1151591-3Изучение условий эксплуатации-1-2331-4Анализ задания на дипломное проектирование-1-2432-4Изучение принципа работы РЛС-1-4552-5Изучение алгоритмов управления РЛС-1-4862-6Разработка структурной и функциональной схемы-1-71293-4Анализ известных технических решений, реализующих требуемые параметры изделия-1-2643-5Выдвижение и проработка предложений по модернизации устройства11-41283-6Выбор и обоснование элементной базы-1-3754-5Разработка имитационной модели-1-4765-6Моделирование работы прототипа-1141286-7Выбор элементарной базы-111226-8Разработка структурной схемы-1-7129123456787-9Разработка схемы электрической принципиальной11-714108-9Разработка печатной платы-1-4659-10Разработка программного обеспечения-1-918139-11Разработка руководства по прошивки микросхемы ПЗУ-1147610-11Разработка раздела "Охрана труда"-1-35410-12Оформление проекта-1114201711-12Расчет экономической эффективности111512812-13Окончательный анализ результатов Принятие решений по разработке11-496

6.3 Расчет параметров событий сетевого графика


Ранний срок свершения исходного (нулевого) события сетевого графика принимается равным нулю. Ранний срок свершения данного промежуточного события рассчитывается путём сравнения сумм, состоящих из раннего срока свершения события, непосредственно предшествующего данному и длительности работы. Так как данное событие не может свершиться, пока не закончится последняя из непосредственно предшествующих ему работ, очевидно, что в качестве раннего срока свершения события принимается максимальная из сравниваемых сумм. Рассчитанный таким способом ранний срок свершения завершающего события принимается в качестве его же позднего срока свершения. Это означает, что завершающее событие никаким резервом времени не располагает.

Поздний срок свершения данного - промежуточного события определяется при просмотре графика в обратном направлении. Для этого сопоставляются разности между поздним сроком свершения события, непосредственно следующего за данным, и продолжительности работы, соединяющей соответствующее событие с данным. Так как ни одна из непосредственно следующих за данным событием работ не может начаться, пока не свершится само данное событие, очевидно, его поздний срок свершения равен минимуму из подсчитанных разностей.

Резерв времени образуется у тех событий, для которых поздний срок свершения больше раннего, и он равен их разности. Если же эти сроки равны, событие резервом времени не располагает и, следовательно, лежит на критическом пути.

Результаты расчетов сведены в таблицу 6.2.


Таблица 6.2 - Параметры событий сетевого графика, дней.

№ событияСроки свершенияРезерв временираннийпоздний00001330212120361374171705232306313107333528404009454501058580116267512757501381810

.4 Расчет параметров работ сетевого графика


Ранний срок начала работы Tрнij совпадает с ранним сроком свершения ее начального события. Поздний срок начала работы Tпнij можно получить, если из позднего срока свершения ее конечного события вычесть ее ожидаемую продолжительность. Ранний срок окончания Tроij работы образуется прибавлением ее продолжительности к раннему сроку свершения ее начального события. Поздний срок окончания работы Tпоij совпадает с поздним сроком свершения ее конечного события. Для всех работ критического пути, как не имеющих резервов времени, ранний срок начала совпадает с поздним сроком начала, а ранний срок окончания - с поздним сроком окончания. Работы, не лежащие на критическом пути, обладают резервами времени. Полный резерв времени работы Rпij образуется вычитанием из позднего срока свершения ее конечного события раннего срока свершения ее начального события и ее ожидаемой продолжительности.

Частный резерв времени работы первого рода RIчij равен разности поздних сроков свершения ее конечного и начального событий за вычетом ее ожидаемой продолжительности.

Частный резерв времени работы второго рода RIIчij равен разности ранних сроков свершения ее конечного и начального событий за вычетом ее ожидаемой продолжительности.

Свободный (независимый) резерв времени работы Rсij образуется вычитанием из раннего срока свершения ее конечного события позднего срока свершения ее начального события и ее ожидаемой продолжительности. Свободный резерв времени может быть отрицательным.

Правильность расчета резервов времени работы можно проверить по следующим соотношениям:

а) сумма полного и свободного резерва работы равна сумме двух частных ее резервов;

б) поздний и ранний сроки начала работы, а также поздний и ранний сроки ее окончания всегда отличаются на величину ее полного резерва.

Для работ, лежащих на критическом пути, никаких резервов времени нет и, следовательно, коэффициент напряженности kнij таких работ равен единице. Если работа не лежит на критическом пути, она располагает резервами времени и ее коэффициент напряженности меньше единицы. Его величина подсчитывается как отношение суммы продолжительностей отрезков максимального пути, проходящего через данную работу, не совпадающих с критическим путем tнемаксij, к сумме продолжительностей отрезков критического пути, не совпадающих с максимальным путем, проходящим через эту работу tнекрij. Рассчитанные значения сведены в таблице 6.3 и в СГ на рисунке 6.1.



Таблица 6.3 - Параметры работ сетевого графика

Код работыОжидаемая продолжительность, дн.Сроки начала, дн.Сроки окончания, дн.Резервы времени, дн.Коэффициент напряженностираннийпозднийраннийпозднийполныйчастный 1-го родачастный 2-го родасвободный12345678910110 - 130033000011 - 29331212000011 - 33310613700-70,51 - 433146171100-110,222 - 4512121717000012 - 5612171824500-50,752 - 69122221311000-100,653 - 446131017700-70,53 - 586151423900-90,553 - 6562611312000-200,294 - 5617172323000015 - 6823233131000016 - 7231333335200-20,866 - 8931314040000017 - 91033354345200-20,868 - 9540404545000019 - 101345455858000019 - 116456151671600-160,4710 - 11458636267500-50,7110 - 1217585875750000111 - 12862677075500-50,4712 -1367575818100001


.5 Расчет параметров СГ в целом


В этом разделе определяются следующие параметры СГ:

Количество событий nс в СГ, включая исходное (14).

Количество работ nр в СГ (22).

Коэффициент сложности kс СГ, равный отношению количества работ к количеству событий в СГ (kс =22/14=1.58).

Критический путь Lкр в СГ проходит через события и работы, не обладающие резервами времени, и имеет, следовательно, максимальную продолжительность tкр (81 день), равную сроку свершения завершающего события. Продолжительность критического пути соответствует математическому ожиданию срока свершения завершающего события, равного сумме ожидаемых продолжительностей работ, составляющих критический путь.



6.6 Расчет затрат на НИОКР


Для выполнения НИОКР в соответствии с сетевым графиком необходима рабочая группа из 3-х человек: ведущий инженер - 1 человек, ИТР - 1 человек, лаборант - 1 человек.

Основную заработную плату рассчитываем, принимая, что у исполнителей 22 рабочих дня в месяце, и она представляет собой сумму прямой заработной платы, поясного коэффициента и премии. Дополнительная заработная плата на ПО "Полёт" составляет 40% от основной, поясной коэффициент - 15%, отчисления - 38,5%. Оклад, заработная плата и трудоемкость сведены в таблицу 6.4.



Таблица 6.4 - Заработная плата на проектирование

Категория персоналаОкладТрудоемкостьОднодневная з/пПрямая з/пУр. коэфф.ПремияОсновная з/пДополнительная з/пОтчисления на соц. страх.НС500018227,277954,451193,171829,5210977,144390,864226,2ИТР300057136,3620317,643047,654673,0628038,3511215,310794,8Лаборанты20003090,914545,5681,831045,476272,82509,122415,03Итого:45288,2918115,317436


Затраты на расходуемые в процессе изготовления изделия материалы рассчитываются и заносятся в таблицу 6.5


Таблица 6.5 - Материалы за вычетом отходов

Наименование, тип, марка, ГОСТЕденица измеренияЦена, руб.Норма расходаСтоимость материала, руб.Клей ВК-9 ОСТ 4.ГО.025.204 кг 25 0,05 1,25Лак НЦ-62 ОСТ 6.10391-74 кг 50 0,2 10 Провод монтажный МГШВ-0,25 ГОСТ 20171-63 м 9 0,5 4,5Припой ПОССу 61-0,5 ГОСТ 21931-76 кг 50 0,1 5Стеклотекстолит СТ1-1-35-0,3 ТУ 16-503.161-83 мІ 285 0,04 11,4Канифоль кг 10 0,1 1Флюс ФКСП ОСТ 4.ГО.033.200 кг 5 0,1 0,5Итого: 33

Покупные изделия системы и затраты на них при производстве берем в соответствии с перечнем элементов, рассчитываем исходя из цены одного изделия и сводим в таблицу 6.6.


Таблица 6.6 - Покупные комплектующие изделия.

НаименованиеКоличество, шт.Цена 1-го изделия, руб.Стоимость, руб.КонденсаторыК10-17В391,3753,43К53-32121,518РезисторыР1-12-0,125520,315,6С2-33H-0,531854КоммутацияВилка ГРПМ9-62ШУ2-В144Вилка IDC BH-10М- R15,65,6Кнопка КМ-1-1 В236РезонаторыО-1.0JCO14-3-В-Т1135,735,7Микросхемы2ДС627A724,3170,1Блок Б19K-2722154MAX6816732224MAX6814253106CPC1004N118151770IN74ACT14D12828EPC2LC201210210EPF10K10QC208-4114521452МПВ10А1210210ДиодыИндикатор 3Л341Г12816Индикатор 3Л341В128162Д522Б199Итого:4557,43

.7 Предпроизводственные затраты


Статьи, включенные в смету затрат на проведение НИОКР сведены в таблицу 6.7. Графа ''Прочие прямые расходы'' рассчитывается как 3% от суммы затрат по предыдущим статьям. Накладные расходы составляют 5% от суммы по предыдущим статьям. Они включают в себя затраты на производственные командировки, контрагентские расходы, фонды экономического стимулирования, федеральные и территориальные налоги и т. д. Специальное оборудование для проведения НИОКР не приобретается.


Таблица 6.7 - Смета затрат на проведение НИОКР

Наименование статьи затратСумма, руб.1. Материалы за вычетом отходов332. Покупные изделия4557,433. Основная заработная плата45288,294. Дополнительная заработная плата18115,35. Отчисления на социальное страхование174366. Прочие прямые расходы1450,657. Накладные расходы2490,28Итого:89370.95

Капитальные вложения на стадии освоения и производства изделия Кпt рассчитываются по формуле:


Кпt = Косв + Кт.о + Ко.с, (6.7.1)


где Косв - затраты на освоение производства изделия, доработку опытных образцов, изготовление моделей, проведения тепловых, климатических и виброиспытаний, Косв = 60% от сметы затрат на проведение НИОКР;

Кт.о - затраты на технологическую оснастку (общий объём этих работ незначителен, поэтому Кт.о = 10% от сметы затрат на проведение НИОКР);

Ко.с - затраты на пополнение оборотных средств составляют 70% от сметы затрат на проведение НИОКР из-за незначительного объёма выпуска изделия и низких затрат на внецеховых и внутрицеховых транспортировочных операций.

После подстановки числовых значений указанных параметров получаем:


Кпt = (0,60 + 0,10 + 0,70)× 89370,95= 125119,33 руб.


.8 Текущие издержки при производстве продукции


Рассчитываем заработную плату производственных рабочих, а также сопутствующие отчисления.

Процентные отношения дополнительной заработной платы, поясного коэффициента, отчислений к основной аналогичны приведённым выше. В производственном процессе задействованы три категории производственных рабочих: слесарь третьего и четвёртого разряда, сборщик пятого разряда, монтажник шестого разряда.


Таблица 6.8 - Заработная плата производственных рабочих

ОперацияРазрядЧасовой тариф, руб./часТрудоемкость, часОсновная зарплата, руб.Поясной коэфф.Дополнительная зарплата, руб.Отчисления, руб.1. Комплектация40,911616,892,531,697,152. Формовка выводов40,911010,561,581,064,53. Лужение выводов30,81513,442,021,345,74. Монтаж элементов на печатную плату61,182029,264,392,9312,45. Промывка30,821,980,30,20,846. Внутриблочный электромонтаж61,182536,585,493,6615,57. Сборка50,923032,024,83,213,68. Обслуживающие операции30,82522,43,362,249,5Итого:163,1324,4716,3269,19

Себестоимость изделия находится по методу сметной нормативной калькуляции. Статьи калькуляции себестоимости сведены в таблицу 6.8. Затраты на топливо и энергию рассчитываются прямым счётом или берутся как средние затраты на производственные цели. С достаточной точностью для данного варианта подходит калькуляция топливно-энергетических затрат при производстве прототипа (см. таблицу 6.9). Далее статьи затрат считаются следующим образом:

  1. Износ инструмента есть величина, равная 20% от основной зарплаты производственных рабочих;
  2. Цеховые расходы составляют 380% от основной заработной платы рабочих, в состав их входит статья "Расходы на содержание и эксплуатацию производственного оборудования";
  3. Общезаводские расходы составляют 360% от основной заработной платы рабочих;
  4. Прочие производственные расходы составляют 5% от суммарных затрат на предыдущие статьи;
  5. Производственная себестоимость есть суммарные затраты на все предшествующие статьи;
  6. Внепроизводственные расходы для ПО "Полёт" составляют примерно 2% от производственной себестоимости.

Расходы на подготовку и освоение производства практически отсутствуют, так как большая часть работ приводится вручную или на универсальных приспособлениях, рабочие знакомы с технологией выпуска подобных изделий.

Таким образом, производим расчет полной себестоимости изделия.


Таблица 6.9 - Калькуляция себестоимости изделия

Статья калькуляцииОбозначениеСуммаСырьё и материалы (за вычетом отходов)РМ33Покупные комплектующие изделия и полуфабрикатыРКОМПЛ4557,43Основная заработная плата производственных рабочихЗОСН163,13Дополнительная заработная плата производственных рабочихЗДОП16,32Отчисления на социальное страхованиеОПР69,19Износ инструмента и приспособлений целевого назначения и специальные расходыИИН32,63Цеховые расходыРЦ619,89Общезаводские расходыРОЗ587,27Прочие производственные расходыРПРОЧ704,44Производственная себестоимостьСПР6783,31Внепроизводственные расходыРВП135,67Итого полная себестоимость:СПОЛН6918,98

Производственная себестоимость и внепроизводственные расходы формируют полную себестоимость продукции.

Оптовая цена изделия рассчитывается из условия 25% рентабельности производства и составляет:


Циз = 6918,98×1,25 = 8648,72 руб.


Отпускная цена с учётом налога на добавленную стоимость (НДС = 18%) составляет:


Црозн = 10205,5 руб.


.9 Единовременные затраты при использовании продукции


Капитальные вложения на стадии использования (эксплуатации) изделия составляют:


Ки = Ксопут + Ксопр, (6.9.1)


где: Ксопр - сопряжённые капитальные вложения, для изделия данного класса полностью отсутствуют;

Ксопут - сопутствующие капитальные вложения потребителя:


Ксопут = Ктр + Км, (6.9.2)


где Ктр - затраты на доставку технических средств к месту эксплуатации, равные 4% от оптовой цены на изделие;

Км - затраты на установку, монтаж и наладку, равные 9% от оптовой цены изделия.

Таким образом, капитальные вложения составили:


Ки = Ксопут = (0,04 + 0,09)× 8648,72 = 1124,33 руб.


Текущие эксплуатационные издержки при использовании продукции сведены в таблицу 6.10. Изделие в процессе эксплуатации не требует обслуживающего персонала, поэтому расходы по этой статье принимаем равными нулю. Из-за высокой надёжности устройства исключаются плановые текущие ремонты.

Затраты на внеплановые ремонты не предусмотрены. Затраты на послегарантийные ремонты для данного класса аппаратуры незначительны, в общем случае, они не превышают 2% от полной себестоимости изделия, при этом данная статья включает в себя затраты на оплату работ, связанных с ремонтом изделия в специализированных мастерских, на используемую при этом электроэнергию и вспомогательные материалы.

Затраты на электроэнергию рассчитываются из учёта максимально возможной продолжительности работы устройства [364×(24-8)]×ПВ, то есть 1740 часов в год. Исходим из того, что 1 кВт×час потребляемой электроэнергии стоит 0,74 руб., а плата потребляет около 10 Вт/ч.


Таблица 6.10 - Текущие эксплуатационные издержки потребителя

Наименование статьи затратОбозначениеСумма, руб./ годЗатраты на послегарантийные ремонтыРТ.ВН497,75Затраты на вспомогательные материалыЗВМ248,87Затраты на потребляемую электроэнергиюЗЭЛ64,38Косвенные затратыЗКОСВ1555,47Итого:РИТ2366,47

Затраты на вспомогательные материалы составляют равными 0,8% от оптовой цены на изделие.

Косвенные затраты, состоящие из расходов по управлению и обслуживанию, составляют 5% от оптовой цены на изделие.


ЗЭЛ = 0,05·1740·0,74 =64,38 руб.


6.10 Показатели экономической эффективности НИОКР


Стоимостная оценка результатов производства одного изделия оценивается по формуле:


Рt = Cаналог - Сизд, (6.10.1)


где Сизд - оптовая цена одного изделия, руб.;аналог - стоимость аналога, 27000 руб.

Подставляя имеющиеся данные в формулу получаем:

= 27000 - 8648,72 = 18351,28 руб.


Экономический эффект мероприятия при производстве t изделий рассчитывается по формуле:


- НИОКР, (6.10.2)


где Рt - результаты мероприятия при производстве t изделий;

Зt - затраты предприятия при производстве t изделий;

at - коэффициент дисконтирования.

Коэффициенты дисконтирования согласно принимаются следующими: at=(0,91; 0,83; 0,75; 0,68; 0,62; 0,56)

Экономический эффект достигается за счет уменьшения цены изделия по сравнению с его аналогом. Из стоимостной оценки результатов производства видно, что разрабатываемое устройство дешевле своего аналога на 18351,28 руб., следовательно, НИОКР окупится за счет этой разницы через 5-6 произведенных изделий (т.е. t = 5-6).

Заключение


В ходе дипломного проектирования была разработана аппаратная и програмная части платы "Устройство управления и индикации РЛС", удовлетворяющая требованиям задания на дипломное проектирование. Предварительно были разработаны и описаны алгоритмы управления РЛС, формирования сигналов индикации на основе сбора сигналов контроля с отдельных узлов РЛС.

Программный комплекс может использоваться по своему прямому назначению - получение файла, содержащего программу прошивки микросхемы ПЗУ EPC2 фирмы ALTERA, входящей в состав платы УУиИ. Программа успешна прошла испытания в изделии АОРЛ-1АС на ФГУП ЧРЗ "Полет".

Пояснительная записка отражает все этапы разработки аппаратного и программного комплекса, начиная от разработки структуры аппаратной части и заканчивая разработкой и внедрением в РЛС программного комплекса. В пояснительной записке также описаны мероприятия по вопросам безопасности жизнедеятельности, а также приведено экономическое обоснование разработки. Сетевое планирование позволило правильно выбрать сроки дипломного проектирования.


Список сокращений


ПЛИС- Программируемая логическая интегральная схема

ПЗУ- Постоянное запоминающее устройство

ОЗУ- Оперативное запоминающее устройство

КДП- Контрольно- диспетчерский пункт

РЛС- Радиолокационная станция

ПРЛС- Панель РЛС

ПК- Первичный канал

ВК- Вторичный канал

ПТЭ- правила технической эксплуатации

ПЭВМ- персональная электронно-вычислительная машина


Литература


1.Антонов А.П. Язык описания цифровых устройств. Практический курс. М.: ИП РадиоСофт, 2001. - 224 с.: ил.

2.Соловьев В.В. Проектирование цифровых систем на основе программируемых интегральных схем. - М.: Горячая линия - Телеком, 2001. - 636 с. ил.

.Аэродромный радиолокационный комплекс АОРЛ-85: Учебное пособие / В.Е. Лернер, В.В. Миронов, М.А. Шильман; РКИИГА. Рига 1989. 105 с.

.ГОСТ 12.0.003-74 ССБТ. Опасные и вредные производственные факторы.

.ГОСТ 12.1.030-81 ССБТ И - 1.08.87. Электробезопасность. Защитное заземление, зануление.

.ГОСТ 12.1.038-82 ССБТ И - 1.04.88. Электробезопасность. Предельно допустимые уровни напряжений прикосновения и токов.

.ГОСТ 29.05.006-85. Электрические поля промышленной частоты. Допустимые уровни напряженности и требования к проведению контроля на рабочих местах.

.ГОСТ 12.1.003-83 ССБТ. Шум общие требования безопасности.

.ГОСТ 12.2.031-78. Производственное помещение. Общие эргономические требования.

.Методические указания по дипломному проектированию для студентов приборостроительного факультета (Раздел "Охрана труда") / Составитель Н.М. Мирзаева; Под ред. А.И. Сидорова. - Челябинск: ЧПИ, 1989. - 15 с.

.СТП ЮУрГУ 04-2001. Стандарт предприятия. Курсовое и дипломное проектирование. Общие требования к оформлению / Составители: Сырейшикова Н.В., Гузеев В.И., Сурков И.В., Винокурова Л.В. - Челябинск: ЮУрГУ, 2001. - 49 с.

Приложение


Тексты программных модулей


Основной программный модуль


include"form_mode.inc";"form_f.inc";"proc.inc";"form_control.inc";"form_out.inc";usc

([56..0]: input;: input;: input;: input;: input;[56..0]: output;: output;: output;: output;: output;: output;

)_ts: node;: node;: node;: node;: node;: node;: node;_work[56..0]: node;_ts[56..0] : node;= form_mode(test, tu, ft_dn, nreset);

(data_ts[], ft_ts, cf, nres, ftt, ftt2, ftt3, nreset)= form_f(clk);_work[]= proc(in[], clk, ftt, ftt2, nreset, wmode);

(tnorm, terror)= form_control(in[], out[], wmode, mode, ft_ts, cf, nres, ftt3);[]= form_out(!data_work[], data_ts[],, clk, nreset);= vcc;= nreset;

end;


Подпрограмма формирователя режимов работы ПЛИС


subdesign form_mode

(: input;: input;: input;: output;

): dff;.clk= test;.d= !mode.q;.prn= !tu & nreset;

end;


Подпрограмма формирования сетки частот и управляющих сигналов


include"ct.inc";"dc_ts.inc";form_f

(: input;_ts[56..0]: output;_ts: output;: output;: output;: output;: output;: output;: output;

)[25..0]: node;: dff;: dff;: dff;: dff;: dff;[]= ct(clk);_ts[]= dc_ts(q[23..18]);_ts= q[13];= q[19];= q[20];= q[25];.clk= clk;.d= q[18];.clk= clk;.d= t1.q;= t1.q $ t2.q;.clk= clk;.d= !cf;.clk= clk;.d= t3.q;= t4.q;.clk= q[20];.d= vcc;.prn= !t5.q;

nreset= t5.q;;


Подпрограмма устройства тестевого


subdesign form_control

([56..0]: input;[56..0]: input;: input;: input;_ts: input;: input;: input;: input;: output;: output;

)[56..0]: node;[55..0]: node;: node;: node;[2..0]: dff;: dff;: dff;: dff;: dff;: dff;: dff;[0]= !wmode $ !inc[0];[1]= !wmode $ !inc[1];[2]= !wmode $ !inc[2];[3]= !wmode $ !inc[3];[56..4]= !inc[56..4];[0]= !in[0] $ outc[0];i in 0 to 54 generate[i+1]= v[i] # (!in[i+1] $ outc[i+1]);generate;= v[55] # (!in[56] $ outc[56]);= !afail # !ft_ts;[].clrn= nres;[0].clk= cafail;[0].d= !ct[0].q;[1].clk= !ct[0].q;[1].d= !ct[1].q;[2].clk= !ct[1].q;[2].d= !ct[2].q;.d= !ct[0] & !ct[1] & ct[2];.clk= cafail;.d= vcc;.clk= dcs.q;.clrn= nres;.d= or1.q;.clk= cf;.d= vcc;.clk= ftt2;.clrn= !or4.q;.d= or3.q;.clk= ft_ts;.d= vcc;.clk= ft_ts & or2.q;.clrn= !or4.q;= or5.q & !mode;= !or5.q & !mode;;


Подпрограмма мультиплексора выходных сигналов

form_out

(_work[56..0]: input;_ts[56..0]: input;: input;: input;: input;[56..0]: output;

)[56..0]: dff;mode then[].d = data_work[];[].d = data_ts[];if;[].clk= clk;[].clrn= nreset;[]= t[].q;

end;


Подпрограмма обработки информационных сигналов


include "proc_usc";

include "proc_usc_01";proc

([56..0] : input;: input;: input;: input;: input;: input;[56..0] : output;

): proc_usc;: proc_usc_01;: node;= vcc;wmode then.a = inc42;.b = inc3;.c = inc17;.d = inc41;.e = inc1;.k = inc9;.l = inc45;.m = inc46;.n = inc52;.o = inc2;.u1 = inc31;.u2 = inc4;.a1 = inc12;.a2 = inc55;.c1 = inc11;.d1 = inc16;.k1 = inc10;.l1 = inc8;.con0 = inc24;.con1 = inc43;.con2 = inc32;.con3 = inc44;.con4 = inc40;.con5 = inc6;.con6 = inc28;.con7 = inc0;.con8 = inc25;.con9 = inc5;.con10 = inc18;.con12 = inc22;.con13 = inc38;.con14 = inc56;.con15 = inc50;.con16 = inc7;.con17 = inc30;.clk = clk;.ftt = ftt;.ftt2 = ftt2;= trc;= trc;= trc;= trc;= v1.kdp1;= trc;= v1.z1;= trc;= trc;= trc;= trc;= trc;= trc;= v1.kdp0;= v1.prls12;= trc;= trc;= trc;= trc;= v1.kdp3;= v1.kdp4;= v1.kdp2;= trc;= v1.kdp5;= trc;= trc;= v1.prls7;= v1.prls5;= trc;= v1.prls1;= trc;= trc;= trc;= v1.s;= v1.prls8;= v1.prls9;= v1.prls11;= v1.prls10;= trc;= v1.z;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= v1.prls6;= v1.prls0;= v1.prls4;= trc;= v1.prls2;= trc;= v1.s1;= v1.prls3;= trc;= trc;.pc_a = inc27;.pc_b = inc28;.pc_c = inc7;.pc_d = inc9;.pc_c1 = inc8;.pc_d1 = inc10;.pc_e = inc11;.pc_q = inc13;.pc_e1 = inc12;.pc_q1 = inc14;.pc_z = inc48;.pc_s = inc49;.sc_a = inc31;.sc_b = inc32;.sc_c = inc17;.sc_d = inc19;.sc_c1 = inc18;.sc_d1 = inc20;.sc_e = inc21;.sc_q = inc23;.sc_e1 = inc22;.sc_q1 = inc24;.sc_z = inc50;.sc_s = inc51;.u = inc35;.k = inc38;.l = inc41;.inh = inc0;.du_in = inc46;.cu_in = inc5;.mu_in = inc3;.remont_pc_in= inc56;.remont_sc_in= inc54;.clk = clk;.nreset = nreset;= trc;= v0.flag;= v0.remont_out;= trc;= v0.mu_out;= trc;= v0.cu_out;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= v0.pc_out_c;= v0.pc_out_d;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= v0.sc_out_c;= v0.sc_out_d;= trc;= trc;= v0.pc_out_a;= v0.pc_out_b;= trc;= trc;= v0.sc_out_a;= v0.sc_out_b;= trc;= v0.out_u1;= v0.out_u2;= trc;= v0.out_k1;= v0.out_k2;= trc;= v0.out_l1;= v0.out_l2;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= trc;= v0.du_out;= trc;= trc;= trc;

end if;;


Подпрограмма режима управления


include "rstrigger.inc";"apoi.inc";PROC_USC

(_a : INPUT;_b : INPUT;_c : INPUT;_d : INPUT;_c1 : INPUT;_d1 : INPUT;_e : INPUT;_q : INPUT;_e1 : INPUT;_q1 : INPUT;_Z : INPUT;_S : INPUT;_a : INPUT;_b : INPUT;_c : INPUT;_d : INPUT;_c1 : INPUT;_d1 : INPUT;_e : INPUT;_q : INPUT;_e1 : INPUT;_q1 : INPUT;_Z : INPUT;_S : INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;_out_a : OUTPUT;_out_b : OUTPUT;_out_c : OUTPUT;_out_d : OUTPUT;_out_a : OUTPUT;_out_b : OUTPUT;_out_c : OUTPUT;_out_d : OUTPUT;_u1 : OUTPUT;_u2 : OUTPUT;_k1 : OUTPUT;_k2 : OUTPUT;_l1 : OUTPUT;_l2 : OUTPUT;: OUTPUT;_in : INPUT;_in : INPUT;_in : INPUT;_pc_in : INPUT;_sc_in : INPUT;_out : OUTPUT;_out : OUTPUT;_out : OUTPUT;_out : OUTPUT;

): rstrigger;: rstrigger;: rstrigger;: rstrigger;: rstrigger;: rstrigger;: dff;: dff;: dff;: dff;: node;: apoi;.nr = pc_a & nreset;.ns = pc_b;.clk = clk;.d = trig1.q;.clk = clk;.prn = inh;_out_a = t1.q;.d = !trig1.q;.clk = clk;.prn = inh;_out_b = t2.q;.ns = (DU # pc_d) & (!DU # pc_d1) & pc_S & nreset;.nr = (DU # pc_c) & (!DU # pc_c1) & pc_Z;.clk = clk;_out_c = trig2.q;.ns = (DU # pc_q) & (!DU # pc_q1) & pc_S & nreset & !pc_out_c;.nr = (DU # pc_e # pc_out_c) & (!DU # pc_e1 # pc_out_c) & pc_Z;.clk = clk;_out_d = trig3.q;.nr = sc_a & nreset;.ns = sc_b;.clk = clk;.d = trig4.q;.clk = clk;.prn = inh;_out_a = t3.q;.d = !trig4.q;.clk = clk;.prn = inh;_out_b = t4.q;.ns = (DU # sc_d) & (!DU # sc_d1) & sc_S & nreset;.nr = (DU # sc_c) & (!DU # sc_c1) & sc_Z;.clk = clk;_out_c = trig5.q;.ns = (DU # sc_q) & (!DU # sc_q1) & sc_S & nreset & !sc_out_c;.nr = (DU # sc_e # sc_out_c) & (!DU # sc_e1 # sc_out_c) & sc_Z;.clk = clk;_out_d = trig6.q;_u1 = pc_out_a # DU # u;_u2 = pc_out_b # DU # u;_k1 = pc_out_a # DU # k;_k2 = pc_out_b # DU # k;_l1 = pc_out_a # DU # l;_l2 = pc_out_b # DU # l;= gnd;.nreset = nreset;.du_in = DU_in;.cu_in = CU_in;.mu_in = MU_in;.remont_pc = remont_pc_in;.remont_sc = remont_sc_in;_out = AP.remont_out;_out = AP.du_out;_out = AP.cu_out;_out = AP.mu_out;

DU = DU_out;;


Подпрограмма режима сигнализации


INCLUDE "timer20.inc";"timer5.inc";"timer2.inc";PROC_USC_01

(: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: INPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: INPUT;: INPUT;: INPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;: OUTPUT;

): NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;: NODE;p1 : NODE;p2 : NODE;p3 : NODE;p4 : NODE;p5 : NODE;p6 : NODE;p7 : NODE;p8 : NODE;p9 : NODE;p10 : NODE;p11 : NODE;p12 : NODE;p13 : NODE;ap1_IPC : NODE;ap2_ISC : NODE;ap3_M : NODE;ap3_h : NODE;ap3_W : NODE;ap4_M1 : NODE;ap4_Q : NODE;ap5_R : NODE;ap5_W1 : NODE;ap6_R1 : NODE;ap6_Q1 : NODE;: NODE;: NODE;t : NODE;: NODE;t : NODE;: NODE;= DFFE(a, CLK, CON12, VCC, !CON2);= DFFE(b, CLK, VCC, CON12, !CON2);= DFFE(c, CLK, VCC, CON12, !CON2);= DFFE(d, CLK, VCC, CON12, !CON2);= DFFE(e, CLK, VCC, CON12, !CON2);= DFFE(k, CLK, CON12, VCC, !CON2);= DFFE(l, CLK, VCC, CON12, !CON2);= DFFE(m, CLK, CON12, VCC, !CON2);= DFFE(n, CLK, VCC, CON12, !CON2);= DFFE(o, CLK, VCC, CON12, !CON2);= DFFE(u1,CLK, CON12, VCC, !CON2);= DFFE(u2,CLK, CON12, VCC, !CON2);= DFFE(a1,CLK, CON12, VCC, !CON3);= DFFE(a2,CLK, CON12, VCC, !CON3);= DFFE(c1,CLK, VCC, CON12, !CON3);= DFFE(d1,CLK, VCC, CON12, !CON3);= DFFE(e, CLK, VCC, CON12, !CON3);= DFFE(k1,CLK, CON12, VCC, !CON3);= DFFE(l1,CLK, CON12, VCC, !CON3);= se1 & se2;= timer5(CON17,FTT);p1 = !d # !l;p2 = !d1 # l1;p3 = a;p4 = a1 # a2;p5 = !m # !b;p6 = !c # !c1 # k # k1;p7 = sl;p8 = !sl1;p9 = !sa;p10 = !sa1 & !sa2;p11 = sm;p12 = !sk & !sk1;p13 = sb & sc & sd & sd1 & sc1;ap1_IPC = !t2ap3_W # !t2ap5_W1;ap2_ISC = !t2ap4_Q # !t2ap6_Q1;ap3_M = !sa & se & sl & !sk & sm;ap3_h = (!sn & !so) # (su1 & su2);ap3_W = t2ap3_M & !t2ap3_h;ap4_M1 = !sa1 & !sa2 & se & !sk1 & !sl1;ap4_Q = !t2ap3_h & t2ap4_M1;ap5_R = sb & sc & sd;ap5_W1 = t2ap5_R & !su1;ap6_R1 = sc1 & sd1;ap6_Q1 = t2ap6_R1 & !su1;= t2p1;= t2p2;= t2p3;= t2p4;= t2p5;= t2p6;= t2p13;pp then= sd;= sd1;= vcc;= vcc;= sb;= sc & sc1;= t2p7;= t2p8;= t2p9;= t2p10;= t2p11;= t2p12;if;= t2ap1_IPC;= t2ap2_ISC;= t2ap3_W;= t2ap4_Q;= t2ap5_W1;= t2ap6_Q1;t = (CON5 & !sl1) # CON0 # CON1 # CON9;=timer20(P1t,FTT2,CLK);= (CON4 & sl) # CON0 # CON1 # CON8;=timer20(Pt,FTT2,CLK);= (t2ap3_M # !CON13 # P) & (P # !CON13 # !CON14 # t2ap5_R);= Z # CON6 # !CON10;= timer2(St,FTT,CLK);= (t2ap4_M1 # !CON15 # P1) & (P1 # !CON15 # !CON16 # t2ap6_R1);t= CON7 # Z1 # !CON10;= timer2(S1t,FTT,CLK);

END;

Подпрограмма 26-разрядного счетчика

ct

(: input;[25..0]: output;

)[20..0]: dff;[0].clk= clk;[0].d= !out[0].q;i in 1 to 20 generate[i].clk= !out[i-1].q;[i].d= !out[i].q;

end generate;;


Подпрограмма формирования сигналов ДУ-МУ-ЦУ-РЕМОНТ


subdesign apoi

( DU_in : input;_in : input;_in : input;_pc : input;_sc : input;: input;_out : output;_out : output;_out : output;_out : output;

): dff;: dff;: dff;_out = remont_pc & remont_sc;.prn = (!remont_out # DU_in) & nreset;.clk = lcell(t2.q # t3.q);.d = gnd;.prn = !remont_out # CU_in;.clrn = nreset;.clk = lcell(t1.q # t3.q);.d = gnd;.prn = remont_out & MU_in;.clrn = nreset;.clk = lcell(t1.q # t2.q);.d = gnd;_out = !t1.q;_out = !t2.q;_out = !t3.q;

end;


Подпрограмма таймера на 20 с.


SUBDESIGN Timer20

(: INPUT ;: INPUT ;: INPUT ;: OUTPUT;

): DFF ;: DFF ;: DFF ;: DFF ;: DFF ;: DFF ;: DFF ;: DFF ;: DFF ;.d = vcc;.clk = !t9.q;.clrn = !t3.q & !t8.q;.d = vcc;.clk = !t1.q;.clrn = !t8.q;.d = !t4.q & t5.q & !t6.q & t7.q;.clk = ftt;.d = !t4.q;.clk = ftt;.clrn = t1.q;.d = !t5.q;.clk = !t4.q;.clrn = t1.q;.d = !t6.q;.clk = !t5.q;.clrn = t1.q;.d = !t7.q;.clk = !t6.q;.clrn = t1.q;.clk = clk;.d = in;.clk = clk;.d = t8.q;= !t2.q;;


Подпрограмма таймера на 2 с.

Timer2

(: INPUT ;: INPUT ;: INPUT ;: OUTPUT;

): DFF ;: DFF ;: DFF ;: DFF ;: DFF ;: DFF ;: DFF ;.d = vcc;.clk = !t7.q;.clrn = !t3.q & !t6.q;.d = vcc;.clk = !t1.q;.clrn = !t6.q;.d = !t4.q & t5.q;.clk = ftt;.d = !t4.q;.clk = ftt;.clrn = t1.q;.d = !t5.q;.clk = !t4.q;.clrn = t1.q;.d = in;.clk = clk;.d = t6.q;.clk = clk;

out = !t2.q;;


Подпрограмма таймера на 5


SUBDESIGN timer5

(: INPUT ;: INPUT ;: OUTPUT;

): DFFE;: DFFE;: DFFE;: DFFE;: DFFE;=t2.Q;.CLK=FTT;.D=!t1.Q;.CLRN=t2.Q;.CLK=!in;.D=VCC;.CLRN=t5.Q;.CLK=!t1.Q;.D=!t3.Q;.CLRN=t2.Q;.CLK=!t3.Q;.D=!t4.Q;.CLRN=t2.Q;.CLK=FTT;.D=!t1.Q # t3.Q # !t4;

END;



Аннотация Чарушин С.А. Устройство управления и индикации РЛС. - Челябинск, ЮУрГУ, АиУ, 2004 102 с., 13 ил., библиография литературы - 11 наименований, 7

Больше работ по теме:

КОНТАКТНЫЙ EMAIL: [email protected]

Скачать реферат © 2017 | Пользовательское соглашение

Скачать      Реферат

ПРОФЕССИОНАЛЬНАЯ ПОМОЩЬ СТУДЕНТАМ